Friday, December 21, 2018

Chinese AMEC 5nm plasma etching tools verified by TSMC

DigiTimes report (LINK) that the Chinese OEM Advanced Micro-Fabrication Equipment (AMEC) announced recently its in-house developed 5nm plasma etching tools have been verified by Taiwan Semiconductor Manufacturing Company (TSMC). AMEC is already among TSMC's equipment suppliers for the foundry's 28nm, 10nm and 7nm processes.

Earlier in 2018 AMEC Introduced the Primo Nanova® System, which is the Company's first ICP etch Product for Chipmakers' most advanced memory and logic (LINK). Besides ICP AMEC has products based on CCP etch and platforms for TSV Etch (LINK).
 AMEC Introduced the Primo Nanova® System (AMEC)

Advanced Micro-Fabrication Equipment Inc. (AMEC)
AMEC is China's leading provider of advanced process technology to global manufacturers of semiconductors and solid-state lighting (SSL) products. Headquartered in Shanghai, the company is an entrenched supplier of dielectric and TSV Etch tools, helping chipmakers build devices at process nodes as low as 7nm. To date, nearly 800 AMEC process units have been positioned at 40 leading-edge semiconductor fabs across Asia. The company is also well established in Europe with AMEC MEMS tools running in production at major IDMs. In addition, with its MOCVD system, the company helps SSL manufacturers build today's most advanced LED products. To learn more about AMEC, please visit www.amec-inc.com.

Thursday, December 20, 2018

Hello China, Japan, Taiwan, Singapore and Korea - Please update the ALD Thesis list!

Please update the ALD history VPHA PhD thesis list on ALD PhD's. Currently, we're missing contributions from Asia. New contributions to be added here: LINK




Wednesday, December 19, 2018

Adrie Mackus at TU Eindhoven awarded NWO grants for development of sub 5nm ALE processing

Novel plasma processes for nanoelectronics

The fabrication of future sub-5-nanometer electronics requires etching with atomic level accuracy, which is beyond the reach of conventional plasma etching technology. In this project, novel plasma-based processes will be developed for layer-by-layer removal of material using atomic layer etching (ALEt). Adrie Mackus, leader of this project and Assistant Professor at the Plasma and Materials Processing group of Applied Physics, will collaborate with a diverse group of users from industry and academia, strengthening the leading position of the Netherlands in semiconductor equipment manufacturing.

Source: LINK

 
Nanolab@TU/e, a laboratory for nanotechnology research and innovation. Picture: Bart Van Overbeeke.
 

The semi equipment market will contract 2019 but grow 20.7 percent to reach an all-time high 2020

TOKYO – December 12, 2018 – Releasing its Year-End Total Equipment Forecast at the annual SEMICON Japan exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 9.7 percent to $62.1 billion in 2018, exceeding the historic high of $56.6 billion set last year. The equipment market is expected to contract 4.0 percent in 2019 but grow 20.7 percent to reach $71.9 billion, an all-time high. [Source: SEMI LINK

For 2019, SEMI forecasts that South Korea, China, and Taiwan will remain the top three markets, with all three regions maintaining their relative rankings. Equipment sales in South Korea is forecast to reach $13.2 billion, in China $12.5 billion, and in Taiwan $11.81 billion. Japan, Taiwan and North America are the only regions expected to experience growth next year. The growth picture is much more optimistic in 2020, with all regional markets expected to increase in 2020, with the market increasing the most in Korea, followed by China, and Rest of World [Source: SEMI LINK]

After a period of record growth in 2017-18, the semiconductor equipment industry is expected to face a slowdown in 2019. Logic is strong but memory is weak, and the trade issues between the United States and China are a cause for concern. According to a report in Semiengineering (LINK), heading into 2019, there is a shortfall of 200 mm equipment. The industry requires from 2,000-3,000 new or refurbished 200 mm tools to meet fab demand, according to SurplusGlobal. But there are only 500 available 200 mm tools on the market, according to the company. 200 mm tool prices will remain high. 300 mm tool prices are lower than 200 mm tool prices these days
For the ALD OEM market the situation is therefore heating up even though the. Currently the top 300 mm ALD equipment companies (ASM, TEL, Lam, Jusung, Wonik IPS, Applied Materials) does not actively support the market with pure play 200 mm products except for Large Batch Furnaces. So if you want a 200 mm single wafer ALD tool today you can buy a 300 mm tool and equip it with 200 mm handling or go to one of the smaller companies like Picosun, Veeco, Beneq, Oxford Instruments, which all have 200 mm ALD cluster products on the market today.
From presentation "ALD/CVD applications, equipment and precursors in high volume manufacturing" at SEMICON Europa 2018, available on SlideShare LINK.
----
Written by Abhishekkumar Thakur and Jonas Sundqvist

Tuesday, December 18, 2018

The Chip History Center web site

Here you go - the best holiday web to explore for all of you LINK

Launched in September of 2004, The Chip History Center web site was originally developed by VLSI Research Inc to be the Semiconductor Industry’s History Channel on the Internet to help strengthen the industry’s infrastructure by providing an archive of videos and reports that document the industry’s history and its development. Today its purpose is to
  • Serve as a virtual museum on the history of the semiconductor industry
  • Preserve and archive the history of the industry that opened the doors to the information age
  • Provide easy-to-access and free information to researchers, historians, and educators
  • Provide educational resources for K-12 that show how things work
  • Provide role models to children about people for whose lives have been enriched by science and math

Early-Bird - The 4th-annual Critical Materials Council (CMC) Conference will be held April 25-26, 2019

Early-Bird Registration Now Open!
The 4th-annual Critical Materials Council (CMC) Conference will be held April 25-26, 2019 at the Saragota Hotel and Casino in Saratoga Springs, New York. The public conference follows the private CMC Fabs F2F meeting and Members Joint Session April 23-24, at GlobalFoundries in Malta (near Saratoga Springs).
 
"Materials for Advancing Processes & Technologies"
Keynote:
JOHN PELLERIN,Ph.D.,
Deputy CTO & VP of Worldwide R&D, GlobalFoundries  
 
Featured Presentations:
 
Dr. Karl Robinson, Director of Process Engineering, IMEC,  
"Materials Engineering in CMOS and Beyond-CMOS Applications"
 
G. Dan Hutcheson,
CEO, VLSI Research,
"Slowdown: When did it start? What drove it? and When will the recovery come?"

Three sessions will cover:  
 
I.  Global supply-chain issues of economics & regulations
II.  Immediate challenges of materials & manufacturing   
III.  Emerging materials in R&D and pilot fabrication    
 
Powerful & actionable presentations, and the popular Not-so-unusual Round Table Session - a highly differentiated program, with networking opportunities for all attendees.
 
Attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. CMC member companies will be attending this meeting, as it is an important part of their membership.  
Event Sponsorship Opportunities
Strengthen and Grow your Presence 

in the semiconductor industry. Use CMC Conference sponsorship as a pathway to leading semiconductor fabricators, OEMs, and materials suppliers. Sponsorships opportunities include virtual and in-person representation before, during and after the Conference, and may include active participation in our Not-So-Usual-Round-Table session. For more info go to https://cmcfabs/sponsorships/ or contact cmcinfo@techcet.com.

Standard Registration (after March 15) $495   

www.cmcfabs.org/cmc-events/

Monday, December 17, 2018

High Speed Batch Atomic Layer Deposition using Vortex ALD by Lotus Applied Technology

Here is a cool animation on the Lotus High Speed Batch Atomic Layer Deposition using Vortex ALD.


YouTube.com
 
Founded in 2007, Lotus Applied Technology was formed through a spinoff of the thin film process group within Planar Systems, Inc., a pioneer in Atomic Layer Deposition technology and manufacturing. Housed in a fully dedicated 20,000 square foot thin film processing and R&D facility in Hillsboro, Oregon, the team of technologists has been working together for over 20 years, developing innovative solutions to thin film processing challenges. Their equipment set includes a wide array of thin film deposition, lithography, and patterning equipment, including a versatile set of ALD equipment:
  • Six P400 Conventional Pulse-Based Batch ALD reactors
  • Roll to Roll ALD Research Scale Reactor
  • TransFlex Roll to Roll ALD Pilot Scale Reactor
  • Vortex Rotary Batch Reactor

Highly Sensitive ALD SnO2 Sensors and the Role of its Thickness in Gas Sensing Capabilities


Highly Sensitive ALD SnO2 Sensors and the Role of its Thickness in Gas Sensing Capabilities Published on Dec 4, 2018
 
Authors: Akhilesh Tanneeru, Zachary Taylor, Bongmook Lee, Veena Misra Abstract: 
 
We report superior gas sensing properties of nano-layered atomic layer deposited - tin oxide thin films with room temperature operation and discuss the role of thickness on the sensing response of the films. 25, 50, 100 cycles of tin oxide ALD films have been evaluated for response with ozone gas in the concentration range of 25ppb-100ppb. At 75ppb of ozone, relevant concentration indicated for an Asthma attack, a remarkable increase in sensitivity by 22 times is seen with the 50 cycles ALD SnO2 sensor over the 100 cycles sensor and an increase of 58 times, with the 25 cycles sensor over the 50 cycles sensor. The operating power per sensor was under 200uW including the power consumed by an UV LED (385nm wavelength) used for resetting the sensors’ baseline resistances. 
 
 

Saturday, December 15, 2018

Oxford Instruments participates in the EU Quantum Technology Flagship Programme (QMiCS)

[Oxford Instrument News] Oxford Instruments NanoScience is pleased to announce a partnership with the leading European institutions, including renowned research groups from Germany, France, Spain, Finland, and Portugal. The group is led by the Walther-Meißner-Institute (WMI) of the Bavarian Academy of Sciences and Humanities in Garching, Germany on a European project for developing new quantum applications. The collaborative consortium awarded a three million Euro grant from the EU Quantum Flagship Programme, for the proposal on ‘Quantum Microwaves for Communication and Sensing (QMiCS)’.

QMiCs project partners:
QMiCS aims at creating a technological basis for improving communication and sensing methods by employing dedicated micro- and nano-structured circuits, made from superconducting materials, cooled down close to absolute zero temperature to generate microwave radiation exhibiting a particular quantum mechanical property called ‘entanglement’. Exploiting entangled microwaves, a prototype quantum local area network cable for distributed quantum computing and a proof of concept for quantum-enhanced radar shall be demonstrated at WMI within the next three years. Oxford Instruments’ role will be to develop a cryogenic link between two ultra-low temperature fridges one provided by Oxford Instruments NanoScience and the other by the WMI to facilitate the microwave communication at very low temperatures. “We are excited at the potential of developing the next generation of quantum technology tools in association with such leading EU researchers in a consortium led by WMI to enable new innovative applications, using the company’s well established and diverse experience in superconducting and cryogen free ultra-low temperatures”, said Ziad Melhem, the Strategic Business Development Manager from Oxford Instruments NanoScience.

Wednesday, December 12, 2018

UNSW and Leadmicro announce a joint initiative to develop next generation high-efficiency solar cells

[Leadmicro News] The University of New-South Wales (UNSW) in Australia, and Jiangsu Leadmicro Nano-Equipment Technology Ltd. (LEADMICRO), a China-based global manufacturer of advanced thin film deposition and etch equipment, have announced a partnership to develop the next generation high-efficiency solar cells based on novel Atomic Layer Deposition (ALD) technology within the frame work of an Arena Project entitled “Advanced high-efficiency silicon solar cells employing innovative atomic scale engineered surface and contact passivation layers”. Mr Warwick Dawson, Director of Knowledge Exchange, Prof. Mark Hoffman, Dean of Faculty of Engineering, Prof. A/Prof Bram Hoex of School of Photovoltaic and Renewable Energy Engineering, as well as Mr. Yangqin Wang, Chairman of the LEAD Group and Dr. Wei-Min Li, CTO of LEADMICRO witnessed the signing ceremony.


Left to right: Research Fellow, Ouyang Zi; Chairman of Wuxi Lead Intelligent Equipment Co. Ltd., Mr. Yanqing Wang; CTO of Jiangsu Leadmicro Nano-Equipment Technology Ltd., Dr Wei-Min LI; Director Knowledge Exchange at UNSW, Warwick Dawson; Dean of Engineering at UNSW, Professor Mark Hoffman; Associate Professor Bram Hoex.

The photovoltaic industry is currently amid the transfer to the technologically superior PERC technology which was developed at UNSW in the late 1980s. According to A/Prof Bram Hoex, who leads the project at UNSW, “A major part of the advantages of the PERC solar cell compared to the incumbent technology is due to the application of ultrathin films which reduce the electronic losses at the non-contacted areas at the rear of the silicon solar cell. It is generally accepted that the next technological node will use so called “passivating contacts” which simultaneously allows for low electronic and resistive losses. These passivating contacts typically consist of a combination of ultrathin films, thus we see that nanoscale thin films will play an increasingly important role in solar cells. ALD allows controlling the growth of thin films at the atomic level and therefore is ideally suited for making these contacts.” In this project, Leadmicro will donate a pilot-scale ALD reactor to UNSW which will be housed at its Solar Industrial Research Facility (SIRF) at UNSW’s Kensington campus. “The fact that we will have a high-throughput reactor available on campus will allow us to very quickly transfer the processes we develop at the lab-scale tools and test their performance at the solar cell device level, so the technology is ready for Leadmicro’s clients to use in high-volume manufacturing” says A/Prof Hoex.

UNSW Dean of Engineering Prof. Mark Hoffman said: “UNSW leads the world in photovoltaic research and development, and I am very pleased that Leadmicro has chosen to partner with us. Together we will drive further efficiencies in solar cell technology. Collaborations such as this one between researchers and industry, where prototypes can be tested before being placed into full-scale production, are crucial to driving the economic benefits of discoveries. I am thankful to Leadmicro for their support and look forward to seeing the outcomes of this partnership,” Professor Hoffman said.

“Leadmicro’s proprietary ALD technology has become the mainstream choice for mass production of high-efficiency solar cells based on passivated contact technology, we are excited to partner with world leading solar energy research center at UNSW to spearhead the development of ALD technology for next generation silicon based solar cell manufacturing that’s above 25% conversion efficiency.” says Dr. Wei-Min Li, CTO at Leadmicro. “In the past two years Leadmicro has made significant contribution to global solar industry with world leading ALD technology that enabled higher efficiency with significant cost reduction. Leadmicro is an example of new trend of Chinese company that is strived for technology innovation and localization. I’m happy to see the collaboration between Leadmicro and world leading research organization at UNSW to pioneer the new technology for high-efficiency solar cells production and contribute further to our noble endeavour of renewable energy for a clean world.” Says Mr Yang Qin Wang, Chairman of the Lead Group.

About UNSW

The University of New South Wales (UNSW) is an Australian public research university located in the Sydney suburb of Kensington. Established in 1949, it is ranked 4th in Australia, 45th in the world, and 2nd in New South Wales according to the 2018 QS World University Rankings. UNSW has been a world-leader in the field of photovoltaics for over four decades.

About LEADMICRO

Jiangsu Leadmicro Nano-Equipment Technology Ltd is a global equipment manufacturer specialized in development, design, manufacturing, and services of the advanced thin film deposition and etch equipments for industrial production applications. Leadmicro’s business areas cover a wide range of industries including new energy, flexible electronics, semiconductor, and nano-technology.






Researchers from MIT and University of Colorado produce smallest 3-D transistor yet


 
Using a new manufacturing technique, MIT researchers fabricated a 3-D transistor less than half the width of today’s slimmest commercial models, which could help cram far more transistors onto a single computer chip. Pictured is a cross-section of one of the researchers’ transistors that measures only 3 nanometers wide. Credits Courtesy of the researchers: Published under a Creative Commons Attribution Non-Commercial No Derivatives license
 


[MIT News] Researchers from MIT and the University of Colorado have fabricated a 3-D transistor that’s less than half the size of today’s smallest commercial models. To do so, they developed a novel microfabrication technique that modifies semiconductor material atom by atom.

As described in a paper presented at this week’s IEEE International Electron Devices Meeting, the researchers modified a recently invented chemical-etching technique, called thermal atomic level etching (thermal ALE), to enable precision modification of semiconductor materials at the atomic level. Using that technique, the researchers fabricated 3-D transistors that are as narrow as 2.5 nanometers and more efficient than their commercial counterparts.

Full story : MIT News LINK


Forge Nano demonstrates superior battery performance

Forge Nano is pleased to share the exciting new data above on ALD-enabled LCO batteries. If you are working with batteries in:
  • Power Tools
  • Laptops
  • Cellphones
  • Wearables
or other LCO based systems, you owe it to yourself and your customers to contact Forge Nano and investigate their exciting advancements for your applications.

If you don’t use LCO based batteries, Forge Nano has demonstrated similar performance improvements for other battery chemistries as well.

Forge Nano’s unique precision ALD nano coating process benefits extend well beyond battery materials, virtually any powder can be upgraded using their process.
 
 
Contact us at Forge Nano for more information on how our innovative process can help you achieve your product goals

John Mahoney
jmahoney@forgenano.com
(720) 531-8293

Tuesday, December 11, 2018

The EFDS ALD for Industry 2019 Exhibition in Berlin is growing - Come and join us 19-20 March 2019!

The EFDS ALD for Industry 2019 Exhibition in Berlin is growing - Come and join us 19-20 March 2019!

ALD for Industry Web: LINK

Formation of HERALD Grant Committee

The following HERALD members have been elected as the Grant Committee, starting in 2019, with responsibility for allocating grants for workshops and other networking purposes in the new HERALD network.
  • Dr. Jolien Dendooven
  • Prof. Anjana Devi
  • Dr. Christoph Hossbach
  • Prof. Erwin Kessels
  • Prof. Greg Parsons
  • Prof. Ana Silva
COST Action MP1402 - HERALD
Hooking together European research in Atomic Layer Deposition


Sunday, December 9, 2018

Argonne develops SIS lithography to maintain the technological progression and scaling of Moore’s Law

A manufacturing technique that could help the semiconductor industry make more powerful computer chips began in the humblest of places — at a lunch table at the U.S. Department of Energy’s (DOE) Argonne National Laboratory. 

The materials synthesis method known as sequential infiltration synthesis, or SIS, has the potential to improve not only chip manufacturing but also things like hard drive storage, solar cell efficiency, anti-reflective surfaces on optics and water-repellant car windshields. Invented in 2010 during a lunchtime conversation between Argonne scientists Seth Darling and Jeffrey Elam and two of their postdoctoral researchers, use of the method has grown in recent years.



Top: Jeff Elam and Anil Mane, co-inventor on the SIS for lithography method and Principal Materials Science Engineer in Argonne’s Applied Materials Division. Bottom: Silicon wafers, ranging in size from 4” to 12” diameter, that have been treated using Argonne’s sequential infiltration synthesis method (Credit : Argonne National Laboratory).

The method was based on the group’s discussion of atomic layer deposition, or ALD, a thin film deposition technique that uses alternating chemical vapors to grow materials one atomic layer at a time. Darling, director of the Institute for Molecular Engineering at Argonne and the Advanced Materials for Energy-Water Systems Energy Frontier Research Center, recently used that technique to add a water-loving metal oxide coating to filters used in the oil and gas industry which prevents the filters from clogging.

“It worked beautifully on the first try.” — Seth Darling, director of Argonne’s Institute for Molecular Engineering and the Advanced Materials for Energy-Water Systems Energy Frontier Research Center

But as the group talked, they started speculating about taking ALD to a new level, said Darling.

“We said ​‘Wouldn’t it be neat if we could grow one material inside another material like a polymer (a string of many combined molecules) instead of on top of it?’” Darling said. ​“We first thought ​‘This isn’t going to work,’ but, surprisingly, it worked beautifully on the first try. Then we began imagining all of the different applications it could be used for.”

The research was funded by the DOE Office of Science, Basic Energy Sciences Program as well as the Argonne-Northwestern Solar Energy Research Center, a DOE Office of Science-funded Energy Frontier Research Center.


Anil Mane unloding wafers processed in a BENEQ TFS 500 ALD reactor at Argonne’s Applied Materials Division. (Credit : Argonne National Laboratory).

SIS is similar to ALD on a polymer surface, but in SIS the vapor is diffused into the polymer rather than on top of it, where it chemically binds with the polymer and eventually grows to create inorganic structures throughout the entire polymer bulk.

Using this technique, scientists can create robust coatings that can help the semiconductor manufacturing industry etch more intricate features on computer chips, allowing them to become even smaller or to add extra storage and other capabilities. They can also tailor the shape of various metals, oxides and other inorganic materials by applying them to a polymer with SIS and then removing the remains of the polymer.

“You can take a pattern in a polymer, expose it to vapors and transform it from an organic material to an inorganic material,” said Elam, director of Argonne’s ALD research program, referring to the way the method can use polymers and a vapor to basically mold a new material with specific properties. ​“It’s a way to use a polymer pattern, and convert that pattern into virtually any inorganic material.”

The technology’s potential spans beyond semiconductors. It could be used to advance products in different industries, and Argonne would be delighted to work with commercialization partners who can take the invention and incorporate it in existing products - or invent new applications to benefit U.S. economy, said Hemant Bhimnathwala, a business development executive at Argonne.

“You can use SIS to create a film, you can put it on a metal, you can create this on glass or put it on a glass windshield to make it water repelling to the point where you don’t need wipers,” Bhimnathwala said.

The way the scientists invented the technique — through that lunch meeting — was also a bit unusual. New discoveries often come about by accident, but not usually by spitballing ideas over lunch, Elam said.

“Occasionally, if you’re watching intently, you can see something else there and discover something new and unexpected,” Elam said. ​“That doesn’t happen very often, but when it does, it’s great.”

The technique also addresses a specific concern in the semiconductor manufacturing industry, pattern collapse, which means the collapse of tiny features used to create electrical components on a computer chip, rendering it useless.

When a pattern is etched on a silicon chip in the chip-making process, an etch-resistant surface is used as a protective coating to mask those regions you do not want to remove. But the etch-resistant coatings commonly used today wear away very quickly, which has prevented chip manufacturers from making components with deeply etched features, Darling said.

With SIS, inorganic vapor coatings can be engineered to provide greater protection of vertical features, allowing deeper etches and the integration of more components on each chip.

“Features on chips have gotten extremely small laterally, but sometimes you also want to make them tall,” Darling said. ​“You can’t make a tall feature if your resist etches away quickly, but with SIS it’s easy.”

Similarly, the technique can be used to manipulate magnetic recording on hard drives or other storage devices, allowing them to increase storage while also getting smaller, Darling said.

Another possibility for the technology is to control how much light bounces off a glass or plastic surface. Using SIS, scientists can engineer surfaces to be almost entirely non-reflective. Using this strategy, scientists can improve performance of solar cells, LEDs and even eyeglasses.

“There are also a lot of applications in electronics,” Elam said. ​“You can use it to squeeze more memory in a smaller space, or to build faster microprocessors. SIS lithography is a promising strategy to maintain the technological progression and scaling of Moore’s Law.”

The team’s research on the technology has been published in The Journal of Materials Chemistry, The Journal of Physical Chemistry, Advanced Materials and The Journal of Vacuum Science & Technology B.

Argonne is looking for commercial partners interested in licensing and developing the technology for more specific uses. Companies interested in leveraging Argonne’s expertise in SIS should contact partners@​anl.​gov to learn more and discuss possible collaborations.



Top: Seth Darling, Scientist and Director of the Institute for Molecular Engineering at Argonne National Laboratory. Bottom: Jeff Elam, Senior Chemist in Argonne’ Applied Materials Division (bottom). Picture Credit : Argonne National Laboratory.

Thursday, December 6, 2018

Scaling Atomic Layer Deposition to Astronomical Optic Sizes

Here is a recent paper shared by Henrik Pedersen on twitter using a cool rather huge ALD machine for coating  covered here earlier (LINK) during its start up at University of California, Santa Cruz. The reactor with a 1 m wide ALD process chamber that has been designed and built by Structured Material Industries Inc. (LINK). It is large enough to accommodate telescope mirrors that has been refurbished with a silver coating that needs a perfect protective ALD coating. The initial test shows that lateral thickness uniformity across a 0.9 m substrate is within 2.5% of the average film thickness, and simple steps to realize 1% uniformity have been identified for next growths.

Scaling Atomic Layer Deposition to Astronomical Optic Sizes: Low-Temperature Aluminum Oxide in a Meter-Sized Chamber
David M. Fryauf, Andrew C. Phillips, Michael J. Bolte, Aaron Feldman, Gary S. Tompa, and Nobuhiko P. Kobayashi
ACS Appl. Mater. Interfaces, 2018, 10 (48), pp 41678–41689
DOI: 10.1021/acsami.8b10457
Publication Date (Web): November 12, 2018

 
Left: The summit of Mauna Kea is considered one of the world's most important astronomical viewing sites. The twin Keck telescopes are among the largest optical/near-infrared instruments currently in use around the world. Middle: The night sky and Keck Observatory laser for adaptive optics. Right: W. M. Keck Observatory at sunset (Wikipedia)

Tuesday, December 4, 2018

The 7th ALD Symposium by ALD Lab Saxony 10th of December 2018 in Dresden

The 7th ALD Symposium will be organized by ALD Lab Saxony and the working group R&D of Silicon Saxony, 10th of December 2018 in Dresden / Germany. 
 

Registration: LINK
 
Location :

Technische Universität Dresden
- Werner-Hartmann-Bau -
Nöthnitzer Str. 66
01187 Dresden 
 
Program 14:00 - 18:00
 
Welcoming
Stefan Uhlig/Cool Silicon

ALD/CVD applications, equipment and precursors in high volume manufacturing
Dr. Jonas Sundqvist/ Fraunhofer IKTS

ALD activities within Research Fab Microelectronics Germany FMD
Bernd Hintze/ Forschungsfabrik Mikroelektronik Deutschland

Atomic layer deposition @ IFW
Andy Thomas/ Leibniz-Institut für Festkörper- und Werkstoffforschung

TSV-Transistor
Felix Winkler/ Technische Universität Dresden, Institut für Halbleiter und Mikrosysteme

BREAK (15:05-15:20)

Synthesis of self-assembled 3D nanostructures using metastable atomic layer deposition
Mario Ziegler/ Leibniz-Institut für Photonische Technologien Jena

ALD layers for reduced wear on micro cutting tools
Toni Junghans/ Westsächsische Hochschule Zwickau

Zr precursor screening for semiconductor applications
Monica Materano/ NamLab

WORLD CAFE (16:00-18:00)
Station 1 – the future of ALX 2019/2020
Station 2 – ALD R&D projects ideas
Station 3 – Internationalisation (players, cooperations, events etc.)

Wrap up world café
Stefan Uhlig/ Cool Silicon

Networking (18:00 - open end): After the official parts, we would be very happy if you accompany us to a trip over the 584. Dresdner Striezelmarkt 2018 and enjoy some networking with a hot cup of delicious mulled wine 


Saturday, December 1, 2018

Gooch & Housego Installs Veeco’s IBS System for Advanced Optical Coating Capabilities


PLAINVIEW, New York—Nov. 29, 2018—Veeco Instruments Inc. and Gooch & Housego (G&H), the world’s leading supplier of high quality superpolished optical components today announced the successful installation of Veeco’s SPECTOR® Ion Beam Sputtering (IBS) Optical Coating System at G&H’s Moorpark, Calif. facility. The new capability provided by SPECTOR supports G&H’s expanding portfolio of high-quality optics for ultraviolet, visible and infrared systems used in telecommunications, aerospace and defense, life science and industrial applications.

SPECTOR offers exceptional layer thickness control, enhanced process stability and the lowest published optical losses in the industry, and has become the IBS system of choice for over 200 advanced manufacturing settings worldwide. G&H will use this system to support its expanding portfolio of high-quality optics for UV, visible and infrared systems used across telecommunications, aerospace and defense, life science and industrial applications. 

“G&H is at the forefront of engineering a broad range of photonics technologies, leveraging optical coatings to advance crystal growth, electro-optics and fiber optics in next-generation applications,” said Adam Morrow, product line manager at G&H. “As we navigate the increasingly complex specifications required for these processes, we’ve turned to Veeco as a partner that can uphold our long-standing pedigree of high-quality optics.”

G&H’s growing presence in the laser optics landscape builds on the company’s tenured history as a supplier of high-quality photonics components. Complementing G&H’s superpolished surfaces, Veeco offers IBS coatings that achieve very low levels of total loss while maintaining surface roughness quality, density and exceptional environmental stability.


The SPECTOR IBS platform offers exceptional layer thickness control, enhanced process stability, and the lowest published optical losses in the industry. The system is engineered to improve key production parameters, such as target material utilization, optical endpoint control, and process time for cutting-edge optical coating applications. The SPECTOR platform, which is the preferred IBS system in the industry, has been installed in more than 200 advanced manufacturing settings across the world.


Friday, November 30, 2018

ASM International will host a technical luncheon seminar in IEDM 2018 San Francisco, CA, US, on Tuesday, December 4

ASM International N.V. (Euronext Amsterdam: ASM) today announces that it will host a technical luncheon seminar in San Francisco, CA, US, on Tuesday, December 4, 2018, the second day of the IEDM Conference.

At this technology seminar ASM will highlight the challenges and potential solutions for advanced ALD processes, equipment and productivity.

The agenda is as follows:
11:30 am Reception,food and drinks
11:55 - 12:00 pm Dr. Ivo Raaijmakers (ASM) - Welcome and introduction
12:00 - 12:30 pm Speaker: SH Hong, MSc (ASM) - "ALD for Advanced Memories"
12:30 - 1:00 pm Invited speaker: Dr. Bala Haran (IBM) - "Materials Need for the Next Era of Computing
 
 
 

Sunday, November 25, 2018

Risen is producing double-sided ALD passivated PERC cells in its 2 GW production line

Chinese high-efficiency cell maker claims its 2 GW production line is churning out double-sided – front and rear passivated – PERC cells with an average efficiency of more than 22.19%, and has promised further process-driven cost reductions.

Risen, which produces double-side passivated PERC cells using atomic layer deposition technology, claims to be the world’s first manufacturer to produce such high efficiency cells to a 2 GW scale, and announced further cost-reduction ambitions.

Source: PV Magazine LINK 

NCD Contracted with Risen Energy to supply 1.8GW solar cell ALD equipment

Nanexa has completed a safety laboratory for the PharmaShell® process

[www.nanexa.se] Nanexa has now finalized a laboratory in Uppsala Sweden, which enables the company to work with cytostatics and other potent drugs. The laboratory has been built according to current occupational health and safety regulations and is adapted to produce materials for use in preclinical and clinical studies. Nanexa now has the capacity to produce clinical trials for, among other things, the company's product project NEX-18.
 

VD David Westberg presenterar bolaget (in Swedish)
 
Nanexa's CEO David Westberg comments: As we see it, this is a truly unique unit. It is highly likely that it is the first ALD (Atomic Layer Deposition) facility that is capable of coating potent drugs and is also qualifoed to manufacture under Good Manufacturing Practice (GMP) conditions, which is a necessity for materials to be used for clinical trials. 
 
It is also a significant strength that we have built up the capacity within the Company, which enables us to work flexibly with permanent staff and without being dependent on other companies' entries and priorities.


Friday, November 23, 2018

CMC Conference Call for Papers, April 25-26, 2019 in Saratoga Springs, NY, USA

The Critical Materials Council (CMC) Conference Committee has issued a call for presentations for the 4th annual public CMC Conference to be held April 25-26, 2019 in Saratoga Springs, NY, USA, following the private CMC face-to-face meetings (April 23-24). The theme of this year’s conference is:

“Materials for Advancing Processes & Technologies”
Keynote: DR. JOHN PELLERIN, Deputy CTO & VP of Worldwide R&D, GlobalFoundries

Three sessions will cover:

I. Global supply-chain issues of economics and regulations,
II. Immediate challenges of materials & manufacturing, and
III. Emerging materials in R&D and pilot fabrication.

 
To encourage the free exchange of the most current pre-competitive information the CMC Conference only requires that speakers submit an abstract for review, and if accepted, presentation slides. No formal paper is required. To submit a 25 min. presentation for consideration, please send a 1-page abstract by January 15, 2019 to cmcinfo@techcet.com.

Attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. CMC member companies will be attending this meeting, as it is an important part of their membership.

On behalf of the CMC Conference Committee,
Jonas Sundqvist, Ph.D., Karey Holland, Ph.D. and Ed Korczynski

Picosun Group reports significant increase in turnover and profitability

 
ESPOO, Finland, 23rd November 2018 – Picosun Group, a leading provider of advanced ALD (Atomic Layer Deposition) thin film coating technology for global industries, reports 37 % rise in turnover to 25.96 million euros during its previous fiscal year, which ended 30th September 2018. 
 
At the same time, the company increased its profitability. EBIT grew to 1.42 million euros which equals 5.5 % of turnover, and EBITDA to 2.39 million euros which is 9.2 % of turnover. The numbers are still unconfirmed.

Picosun’s personnel grew one third to 86 people. Almost 25 % of the personnel have either Ph.D. or D.Sc. degree.

”We are very pleased with the numbers of the previous fiscal year. What also makes us happy is the fact that we were able to increase important investments that support development of our company. Agility and unmatched ALD expertise are our core strengths which we will never compromise,” says Mr. Kustaa Poutiainen, Chairman of the Board and CEO of Picosun Group.

Last year, Picosun invested 4.4 million euros to research and development. This is 17 % of the company’s turnover.

For the ongoing fiscal year Picosun has budgeted 33.3 million euros turnover, which means 28 % growth. The company is also expecting further improvement in profitability, and it is planning to increase its R&D investments to 5.7 million euros.

Picosun’s personnel is expected to grow at the same rate as during the previous fiscal year. Healthcare business will be one of the key factors to boost Picosun’s growth.

”Our PicoMEDICAL™ solutions, specifically targeted to the healthcare industries, have raised a lot of interest amongst our customers. ALD will revolutionize advanced health technologies, just like it did to microelectronics industries more than ten years ago. As the leading AGILE ALD™ solutions provider, we are the pioneers in this field,” continues Poutiainen.

The company has strengthened its global Service and Support operations by hiring lots of new personnel, and by establishing a specific Customer Experience unit. Also Picosun’s China operations have undergone restructuring, and they shall be significantly reinforced during the ongoing fiscal year.

Picosun provides the most advanced ALD thin film coating technology to enable the industrial leap into the future, with turn-key production solutions and unmatched expertise in the field. Today, PICOSUN™ ALD equipment are in daily manufacturing use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in Europe, North America, Singapore, Taiwan, China, and Japan, and a world-wide sales and support network. Visit www.picosun.com.

Thursday, November 22, 2018

UMass Engineers Make Crossbar Arrays of the Smallest Memristors

[University of Massachusetts Amherst LINK] AMHERST, Mass. – A research team at the University of Massachusetts Amherst says it has developed a promising building block for the next generation of nonvolatile random-access memory, artificial neural networks and bio-inspired computing systems.

  • "Memristor crossbar arrays with 6-nm half-pitch and 2-nm critical dimension" Nature Nanotechnology (2018) (LINK
  • Supplemenary information - including details on ALD processing (Al2O3 and HfO2) as well as all other processes (LINK)
 
2-nm memristor crossbar array [University of Massachusetts Amherst]
The team, led by Qiangfei Xia of the electrical and computer engineering department, says the memristor crossbar arrays they have built are, “to the best of our knowledge, the first high-density electronic circuits with individually addressable components scaled down to 2 nanometers dimension built with foundry-compatible fabrication technologies.” The results appear in the journal Nature Nanotechnology.

“This work will lead to high-density memristor arrays with low power consumption for both memory and unconventional computing applications,” says Xia. “The working circuits have been made with technologies that are widely used to build a computer chip.”

Understanding the scale of this work is important, Xia says. One nanometer (nm) is one billionth of a meter. The diameter of a human hair is about 100 micrometers, or 100,000 nanometers. Two nanometers are just a few atoms wide. A crossbar is a matrix of tiny switches.

In the Nature Nanotechnology paper, Xia’s research team explains that organizing small memristors into high-density crossbar arrays is critical to meet the ever-growing demands in high-capacity and low-energy consumption, but is challenging because of difficulties in making highly ordered and highly conductive nanoelectrode arrays. The team has addressed this challenge by developing “nanofins,” metallic nanostructures with very high height-to-width ratio and hence vastly reduced resistance, as the electrodes.

This research is an outgrowth of Xia’s 2013, five-year, $400,000 grant from the National Science Foundation (NSF) Faculty Early Career Development (CAREER) Program to develop emerging nanoelectronic devices. Xia’s NSF research has been addressing the biggest obstacle for the continued operation of Moore’s Law, which states that the number of transistors on integrated circuits doubles approximately every two years.

“It (Moore’s Law) worked perfectly for more than 40 years, but now we’re reaching its fundamental limit, due to the quantum effects related to electron flow,” says Xia. “So, we absolutely need new devices that can do a better job.” In addition to Xia, the other authors of the Nature Nanotechnology paper are Shuang Pi, Can Li, Hao Jiang, Weiwei Xia, Joshua Yang and Huolin Xin

Wednesday, November 21, 2018

The ultimate barrier - ALD barriers by Beneq

[Beneq] With ALD, it is possible to create moisture barriers that are thinner and keep humidity and vapors out better than other hermetic packaging options, which makes it a winning moisture barrier for many industries, especially the semiconductor industry. ALD moisture protection can be applied in different phases of the production process: wafer-level, chip-level, package-level, and/or during the final assembly of the Printed Circuit Board (PCB).

Read more in the Beneq Blog : LINK
Download white paper : LINK

(beneq.com)

Monday, November 19, 2018

Forge Nano launch Prometheus series reactor for particle ALD R&D

Forge Nano has just recently launched a new ALD Particle reactor for R&D including a vast range of goodies:
  • 8 precursor lines (gas, liquid, and solid precursor)
  • multiple fluidization aids
  • vibrating fluidized bed reactor
  • high shear jet assist the negation of powder aggregation and improve mixing in the reactor
  • mass spectrometer (MKS shown in picture)
  • hardware and software for control and in situ analysis of ALD coating in real-time
  • and more
[From Forge Nano] Prometheus brought fire from the Gods to the masses. Forge Nano’s Prometheus R&D tool brings the power of particle ALD to the masses of corporate, academic, and national laboratory researchers interested in pushing the boundaries of high-performance materials through surface engineering. The Prometheus Series represents a significant step forward for R&D into the application of sub-nano to nanoscale coatings on powder volumes from milligram to kilogram samples.

Screen dump from Forge Nano (LINK)

The Prometheus Series was designed to help researchers accelerate their understanding of the coating design space between existing and novel precursors and various substrate materials. These systems accommodate up to 8 precursors, including basic delivery and low vapor pressure delivery draw systems to handle gas, liquid, and solid precursor recipes with consummate ease. Independently-heated zones throughout the system ensure optimal operating conditions for precursors and sensitive substrates.

This novel ALD R&D tool comes complete with multiple fluidization aids to ensure particles are adequately fluidized for uniform coatings. The vibrating fluidized bed reactor and high shear jet assist the negation of powder aggregation and improve mixing in the reactor. Highly controlled dosing is supported with high degrees of automation and automated process monitoring. The system is equipped with emergency stop logic to enable the ALD system to run continuously, safely, and autonomously. The user interface is also is intuitive and is easy to use for easy adoption. The Prometheus Series is the world’s most flexible ALD R&D tool, and it was engineered with the researcher in mind. It provides the most advanced hardware and software for control and in situ analysis of ALD coating in real-time.

More infromatione : LINK

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma

Plasma atomic layer etching (ALE) is typically know as an anisotropic etch method (directional), which is very useful property in many cases but sometimes not good at all, e.g, when you want to conformally (sorry for the reverse ALD expression) etch an high aspect ratio feature like a deep hole or a pillar.

Here is a fresh publication from TU Eindhoven and TNO/Holst Center in the Netherlands on their recent development of a Plasma ALE process capable of isotropical etch, i.e, conformal etching, of very high aspect ratio ZnO nanowires. Its is Open Access so go ahead and download it for free.

Fred, heel erg bedankt voor het delen van deze!

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma

A. Mameli, M. A. Verheijen, A. J. M. Mackus, W. M. M. Kessels, and F. Roozeboom
ACS Appl. Mater. Interfaces, 2018, 10 (44), pp 38588–38595
DOI: 10.1021/acsami.8b12767
 
 
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.

15 nm resolved patterns in Selective Area Atomic Layer Deposition

Here is an impressive and fundamental paper on selective area atomic layer deposition (SA-ALD)or just area selective deposition (ASD) that some prefer to call it.

The researchers at IBM has devleoped a bottom up approach on 300 mm pattern wafers that had been fabricated using standard trench first metal hardmask damascene scheme to create a line pattern of 36 nm pitch with single EUV exposures using low-k OMCTS 2.7 as the dielectric.
 
By deactivating ond surface with self-assembled monolayers (SAMs, Octadecylphosphonic acid) leaving another surface active for ALD processing (ZnO) they were able to produce 15 nm resolved patterns. One of the biggest challenges in the implementation of SA-ALD is the ability to maintain pattern fidelity and reduce defects during the ALD process (ZnO). 
 
Thank you Henrik Pedersen for sharing this paper!
 



Deactivating material is used to block one surface from ALD film growth. (A) ALD eventually leads to overgrowth of the film onto deactivated areas. (B) Defects in the deactivation layer can lead to the formation of locally deposited material. Published with permission from ACS Appl. Mater. Interfaces, 2018, 10 (44), pp 38630–38637 Copyright 2018 American Chemical Society.

Fifteen Nanometer Resolved Patterns in Selective Area Atomic Layer Deposition—Defectivity Reduction by Monolayer Design

Rudy Wojtecki, Magi Mettry, Noah F. Fine Nathel, Alexander Friz, Anuja De Silva, Noel Arellano, and Hosadurga Shobha
ACS Appl. Mater. Interfaces, 2018, 10 (44), pp 38630–38637
DOI: 10.1021/acsami.8b13896

Saturday, November 17, 2018

The new episode about ALDep is out - Area selective ALD with Gregory Parsons