Sunday, January 31, 2021

AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications

Atomic Layer Deposition (ALD) has become a key technology in both the lab and the fab with many devices and other applications benefiting from the (ultra)thin films that can be prepared with very precise thickness control and with unparalleled conformality and uniformity. Nowadays, a significant number of the ALD processes employed are so-called plasma-assisted or plasma-enhanced ALD processes. In the last decade, this method has faced a real breakthrough in high-volume manufacturing and an extensive set of processes and reactor designs have been demonstrated. Yet the reasons why and when to use plasma-assisted ALD are often not clear as well what kind of plasma configurations to use and which conditions to apply. Also, misconceptions about the implications of using plasmas during ALD exist, for example with respect to plasma damage and limitations of conformality. This webinar will address these aspects starting with the basics of ALD and plasma-based processing and will range up to the applications that can benefit from plasma-assisted ALD.

Wednesday, February 10, 2021 01.00 pm to 05:00 pm (Eastern Time USA/Canada -5:00 UTC)



Presenter: Erwin Kessels, Professor Dept. of Applied Physics Eindhoven University of Technology Netherlands

Webinar Objectives/Topics 
  • Provide the basic concepts of plasma-based processing and thin-film preparation by (plasma-assisted) ALD 
  • Gain knowledge on the role of reactive and energetic species such as radicals, ions, and photons on the process and resulting film properties, including film conformality on 3D surface topologies 
  • Present an overview of plasma ALD reactors and discuss important design and processes parameters 
  • Discuss several plasma-assisted ALD processes for key material systems 
  • Give insight into existing and potential future applications of plasma-assisted ALD 
  • Understand the pros and cons of plasma-assisted ALD with respect to thermal ALD

Friday, January 29, 2021

Live Web-Event „SIMULATION FOR ALD″ on March 25, 2021

  • Live Web-Event „SIMULATION FOR ALD″ on March 25, 2021
  • Live Presentations, Simulation Talk, After Work Discussion, Online Market Place

In this workshop the current state of research for modeling approaches on different length scales will be presented. Together we will discuss how to move forward to a multi-scale approach for ALD and related methods like atomic layer etching (ALE) and chemical vapor deposition (CVD).

Program Committee:
Linda Jäckel, Fraunhofer ENAS, Chemnitz, Germany,
Dr. Jonas Sundqvist, BALD Engineering AB, Dresden, Germany / TECHCET LLC CA / Scientific Board of EFDS e.V.,
Dr. Katrin Ferse, European Society of Thin Films (EFDS) e.V., Dresden, Germany.

This workshop provides the opportunity to get in contact with industrial and academic partners, to learn more about the fundamentals of ALD modeling, and to get informed about recent progress in the field.

Learn more and register at our website: https://lnkd.in/d9J483s
If you are interested to join the Online Market Place as an exhibitor, please contact us directly.



Thursday, January 28, 2021

Micron Delivers Industry’s First 1α DRAM Technology

Micron recently announced that they are shipping memory chips built using the world’s most advanced DRAM process technology, which offers major improvements in bit density, power and performance. This is an astonishing feat of nanofabrication. 

Micron announcement: Micron Delivers Industry’s First 1α DRAM Technology

Micron’s 1α DRAM node will facilitate more power-efficient, reliable memory solutions and provide faster LPDDR5 operating speeds for mobile platforms that require best-in-class LPDRAM performance. Micron’s innovation brings the industry’s lowest-power mobile DRAM, with a 15% improvement in power savings,1 allowing 5G mobile users to perform more tasks on their smartphones without sacrificing battery life.

To find out more watch Thy Tran, vice president of DRAM Process Integration at Micron previously with Qimonda explain how to realize this amazing technology.


According to more details given in a Blog by Thy Tran, Micron uses Quadruple Patterning or Quad Patterning to realize the most critical lithography layers, which employ multiple ALD process steps and has become one of the biggest ALD market segment over recent years. See the video below by Lam Research for some more insights!


Quad patterning process flow (Image: Lam Research)



Wednesday, January 27, 2021

Call for Abstracts ALD & ALE 2021 Tampa,FL, USA

 

 

 

Call for Abstracts

Due Next Wednesday:

February 3, 2021

 

 

 

The AVS 21st International Conference on Atomic Layer Deposition (ALD 2021) featuring the 8th International Atomic Layer Etching Workshop (ALE 2021) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2021 Workshop (ALE 2021), so that attendees can interact freely. The conference will take place Sunday, June 27-Wednesday, June 30, 2021, at the JW Marriott Tampa Water Street in Tampa, Florida. As in past conferences, the meeting will be preceded (Sunday, June 27) by one day of tutorials.

 

ALD Plenary

Todd Younkin

(Semiconductor Research Corporation, USA)

 

“Materials & Innovation – Essential Elements that Underpin the Next Industrial Revolution”

ALE Plenary

Steven George

(University of Colorado

Boulder, USA)

 

“Mechanisms of Thermal

Atomic Layer Etching”

 

Key Deadlines:

Abstract Submission Deadline: February 3, 2021

Author Acceptance Notifications: March 16, 2021

Early Registration Deadline: May 14, 2021

Hotel Reservation Deadline: June 4, 2021

Manuscript Deadline: November 1, 2021

 

COVID-19 Alert: AVS recognizes the global COVID-19 pandemic continues to impact face-to-face meetings. We anticipate seeing you in Florida and we will continue to comply with COVID-19 guidelines (local, state, and federal). As a result, all meeting plans are subject to change to stay in compliance with these COVID-19 guidelines. Hybrid options will be considered as needed. Should an in-person meeting not be feasible, a virtual component will be planned. Additional details will be made available as the event draws closer.

 

 

ALD Program Chairs

 

Program Chair:

Sean Barry (Carleton University, Canada)

Program Co-Chair:

Scott Clendenning (Intel, USA)

ALE Program Chairs

 

Program Chair:

Jane Chang (University of California, Los Angeles, USA)

 

Program Co-Chair:

Thorsten Lill (Lam Research, USA)

 

Thursday, January 21, 2021

Master Thesis in Nanotechnology with Alixlabs in Sweden on Atomic Level Fragmentation

Come and join us in Lund Sweden for an exciting Master Thesis in Atomic Level Fragmentation - the new option for extending optical lithography cheaper, greener, and faster than any advanced multi-patterning scheme!

Operating within Lind Nano Lab we guarantee a safe & flexible workplace under ISO 5 and ISO 7 Cleanroom conditions, 24/7 operation, and remote working from wherever you need to be when outside the cleanroom.


Lund Nano Lab : LINK

Thesis description and application : LINK


View across The Science City of Lund in South Sweden direction Copenhagen in Denmark across the straight of Öresend connected by the longest bridge in Europe.


Tuesday, January 19, 2021

Webinar - Enhancing Yield by Minimizing Contamination with Beneq ALD coating solutions

Yet another Webinar with Beneq, this time about ALD coating solution for your equipment.

Regsitration: LINK



Semiconductor manufacturing involves corrosive gases in multiple processes. As we transition to each new technology node, e.g. from 10nm to 7nm and to 5nm, there are even more stringent fab requirements against metal and particle contamination. This poses challenges for existing coating methods such as anodization or plasma spray, which may not provide complete protection especially on critical chamber components with complex geometry. 

This webinar is particularly helpful for process engineers, equipment engineers and others, who are responsible for contamination control and equipment yield. 

Learn more about :
  • Common issues of metal or particle contamination on critical chamber components 
  • Common coating methods against corrosion, and how they compare 
  • What properties to look for e.g. purity, uniformity, when evaluating the optimal protective coating solution 
  • Unique benefits of ALD coatings with Al2O3 and Y2O3 
  • Working with your OEM partner to design, test and implement an ALD coating solution for your equipment

Atomic Layer Deposition of 2D Metal Dichalcogenides for Electronics, Catalysis, Energy Storage, and Beyond

Here is an interesting Review from the leading ALD Laboratory at Helsinki University in Finland on 2D dichalcogenides. We are all looking forward to get to know the ALD:ed Dichalcogenides better in the future in exciting new  devices and daily life. (Thanks for sharing - Dr. King)

Atomic Layer Deposition of 2D Metal Dichalcogenides for Electronics, Catalysis, Energy Storage, and Beyond
Miika Mattinen, Markku Leskelä, Mikko Ritala
First published: 18 January 2021 in Advanced Materials Interfaces


Figure from Google cache (originally in https://doi.org/10.1002/admi.202001677)

Abstract: 2D transition metal dichalcogenides (TMDCs) are among the most exciting materials of today. Their layered crystal structures result in unique and useful electronic, optical, catalytic, and quantum properties. To realize the technological potential of TMDCs, methods depositing uniform films of controlled thickness at low temperatures in a highly controllable, scalable, and repeatable manner are needed. Atomic layer deposition (ALD) is a chemical gas‐phase thin film deposition method capable of meeting these challenges. In this review, the applications evaluated for ALD TMDCs are systematically examined, including electronics and optoelectonics, electrocatalysis and photocatalysis, energy storage, lubrication, plasmonics, solar cells, and photonics. This review focuses on understanding the interplay between ALD precursors and deposition conditions, the resulting film characteristics such as thickness, crystallinity, and morphology, and ultimately device performance. Through rational choice of precursors and conditions, ALD is observed to exhibit potential to meet the varying requirements of widely different applications. Beyond the current state of ALD TMDCs, the future prospects, opportunities, and challenges in different applications are discussed. The authors hope that the review aids in bringing together experts in the fields of ALD, TMDCs, and various applications to eventually realize industrial applications of ALD TMDCs

Monday, January 18, 2021

RASIRC Granted Taiwan Patent for Method and Systems for Purifying Hydrogen Peroxide Solutions

Innovative new products that purify and deliver ultra-pure oxidation chemistries

SAN DIEGO, January 6, 2021 (Newswire.com) - RASIRC announced that the Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I703087 for Method and Systems for Purifying Hydrogen Peroxide Solutions. The patent is applicable to all hydrogen peroxide products including BRUTE® Peroxide and The Peroxidizer®. This invention applies the purification of the liquid source before evaporation into ultra-pure gases. Additionally, the purification improves the performance and lifetime of the patented membrane vaporizer.



“Hydrogen peroxide vapor is becoming a critical material for low-temperature processing of 3-dimensional and HAR devices as ozone and plasma methods hit their limits,” said RASIRC Chief Technology Officer Dan Alvarez. “This invention aids in our efforts to continuously improve the purity and consistency of our delivered product.”

This news comes as part of the harvest of research ideas developed over the last 15 years at RASIRC. Now moving into commercialization, this string of patents also includes our Taiwanese patent I683923, granted in April 2020, for the generation and delivery of Hydrogen Peroxide and Hydrazine gases. “These patents add to our global intellectual property footprint and are needed to support worldwide leadership in the delivery of chemistry for low-temperature oxide and nitrides,” said RASIRC Founder and CEO Jeffrey Spiegelman.

Related RASIRC Products ​

RASIRC Peroxidizer® 

The RASIRC Peroxidizer provides a safe, reliable way to deliver high-concentration hydrogen peroxide gas into ALD, annealing, dry surface preparation, and cleaning processes.

BRUTE Peroxide

Brute Peroxide is a controlled and safer chemical method to deliver high concentration hydrogen peroxide (H2O2) gas into Atomic Layer Deposition (ALD) and Etch (ALE) processes

About RASIRC

RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as Brute Peroxide, Brute Hydrazine, the Peroxidizer, and the Rainmaker Humidification Systems are being used to develop solutions for 5G, AI, IoT, and advanced automation. What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of beating larger competitors to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets.

Contact RASIRC to help solve your complex problems. P: 858-259-1220, email: info@rasirc.om or visit http://www.rasirc.com

Tuesday, January 12, 2021

Semiconductor Materials $50B Market on a Strong Run in 2021

Semiconductor Materials $50B Market on a Strong Run in 2021Pandemic pushing people to Work From Home and School From Home

San Diego, CA, January 12, 2021: TECHCET announced that global revenues for semiconductor manufacturing and packaging materials grew approximately 4% year-over-year (YoY) in 2020 to ~US$50B, and are expected to grow ~7% in 2021 to nearly US$54B. The COVID-19 pandemic has created strong demand for both logic and memory ICs to support Work From Home (WFH) and School From Home (SFH), and such demand is expected to increase despite expanding availability of virus vaccines. Also, demand for automotive ICs has recovered and will be an important driver in 2021 growth. The compound annual growth rate (CAGR) through 2024 is forecast at 5.3% as per the latest TECHCET Critical Materials Reports (CMR) and shown in the attached figure.

Analysts' Alerts emailed to CMR purchasers help them anticipate and mitigate potential supply-chain disruptions. Excerpts have been publicly disclosed on the following critical materials (https://lnkd.in/dzAZcCw):

* IPA in "CMC Considers Bio-Solvents to Reduce Fab Risks",
* HF in "Semi Wet Chemicals US$2B Market Threatened by Localization", and
* Lanthanum in "Rare Earth Elements (REE) Supply Uncertain for IC Fabs".



Picosun ALD reactors installed in Africa's first ALD-Lab at University of Johannesburg RSA

BALD Engineering has earlier reported on the first ALD-Lab in South Africa (LINK). The University of Johannesburg started a laboratory construction implementing the strategy to establish national nanotechnology in South Africa and ordering ALD Equipment from Picosun in Finland. The ALD cleanroom facility is providing an ultra-low number of particle (ISO-7) and nearly vibration- free environment for the two state-of-the-art ALD reactors (Picosun R-200 and R-200 advance), which allow the engineering squad to study numerous states and properties of various unique new nanomaterials at the atomic scale. It will be a unique building in Africa and seeks to lead the world in state-of-the-art research on nanotechnology.

According to reports (LINK) the Picosun reactors have now been delivered and installed in Johannesburg.

Rigardt Coetzee, Researcher and Coordinator at University of Johannesburg in LinkedIn: "Africa's first Atomic Layer Deposition Laboratory. The first 5nm thin film grown at atomic level. Honoured to have been the first to produce this thin film in RSA. I know these reactors will serve South Africa Well in the Industry 4.0 revolution."


Photos from the installation of the new Picosun ALD reactors in the clean rool at University of Johannesburg. Photos from LinkedIn post by Rigardt Coetzee (LINK)

Thursday, January 7, 2021

How ALD can be used to stack 2D materials on one another at a nanometer scale

TU Eindhoven latest publication to see how the toolbox of ALD can be used to stack various layered 2D materials on one another at a nanometer scale.





Surface ligand removal in atomic layer deposition of GaN using triethylgallium

Here is a paper with really impressive results on Low Temperature GaN ALD using ABC-type pulsed sequence from Henrik Pedersen group Linköping University - They insert a step between triethylgallium and ammonia to improve the deposition.

To study how to enhance the ethyl ligand removal from the surface, an additional pulse was added between the TEG and NH3/Ar plasma. This made the ALD process into an ABC-type pulsed ALD process with TEG as A-pulse, the additional gas as the B-pulse and the NH3/Ar plasma as C-pulse.

Depositions were carried out in a Picosun R-200 atomic layer deposition tool without a load lock chamber and a operating pressure of 6 hPa.

Surface ligand removal in atomic layer deposition of GaN using triethylgallium
Journal of Vacuum Science & Technology A 39, 012411 (2021); https://doi.org/10.1116/6.0000752
Petro Deminskyi, Chih-Wei Hsu, Babak Bakhit, Polla Rouf, and Henrik Pedersen

Gallium nitride (GaN) is one of the most important semiconductor materials in modern electronics. While GaN films are routinely deposited by chemical vapor deposition at around 1000 °C, low-temperature routes for GaN deposition need to be better understood. Herein, we present an atomic layer deposition (ALD) process for GaN-based on triethyl gallium (TEG) and ammonia plasma and show that the process can be improved by adding a reactive pulse, a “B-pulse” between the TEG and ammonia plasma, making it an ABC-type pulsed process. We show that the material quality of the deposited GaN is not affected by the B-pulse, but that the film growth per ALD cycle increases when a B-pulse is added. We suggest that this can be explained by the removal of ethyl ligands from the surface by the B-pulse, enabling a more efficient nitridation by the ammonia plasma. We show that the B-pulsing can be used to enable GaN deposition with a thermal ammonia pulse, albeit of x-ray amorphous films.

Prof. Henrik Pedersen in the lab.



Growth per cycle (GPC) for film deposition at different temperatures (a) and with different TEG pulse time (b).