Saturday, March 31, 2018

Tokyo Electron reports on patterning technology for advancements in scaling

If you are interested in the latest patterning technology you should read this excellent online publication by Ken Nawa at the Process Integration Center, Tokyo Electron. Tokyo Electron is one of the top supplier for wafer based advanced etch, deposition and clean (and more) tools for the semiconductor industry. Besides the evolution of semiconductor by scaling technology, he covers all the latest advanced technologies:
  • Introduction of advanced patterning technology and challenges
  • SADP – Scaling by thin film formation on sidewall  
  • SAQP – Scaling by extending SADP technology 
  • SAB – Scaling by etch selectivity to multiple materials

Full article: LINK 


(screen dump form tel.com)


Atomic layer etching of gallium nitride - Free download from JVSTA

If you want to know how to ALE GaN using a standard inductively coupled plasma etch system from Oxford Instruments (Plasmalab 100) you have 30 days to download this excellent JVSTA article from Aalto University, Lund University and Fraunhofer IKTS. Next you will be able to learn first hand from Sabbir at the 16th International Conference on Plasma Surface Engineering, September 17 - 21, 2018, in Garmisch-Partenkirchen, Germany (LINK)

Stay tuned for more publications for this fruitful collaboration!

Atomic layer etching of gallium nitride (0001)
Christoffer Kauppinen, Sabbir Ahmed Khan, Jonas Sundqvist, Dmitry B. Suyatin, Sami Suihkonen, Esko I. Kauppinen, and Markku Sopanen
Journal of Vacuum Science & Technology A 35, 060603 (2017) | Read More 

Sabbir Khan adjusting the first recipe for ALE of Silicon on a Oxford Instruments Plasmalab 100 at Lund Nano Lab in Sweden. Later he transferred the process to Aalto University and successfully ALE:ed GaN up there as well.


Mattson Technology launch Novyka product family for atomic level surface engineering and ultra-selective material removal

FREMONT, Calif., March 08, 2018 (GLOBE NEWSWIRE) -- Mattson Technology introduces Novyka™ product family, the most innovative technology for atomic level surface treatment and ultra-selective etching of extremely thin and delicate materials for continued scaling of 3D logic and memory devices.

“There are significant challenges in scaling with 3D structures for advanced memory and logic chips that include small, narrow, deep and complicated features composed of thin layers of different materials. Among these manufacturing challenges is selective removal of certain layers without damaging or removing other layers and without affecting other features,” said Dr. Subhash Deshmukh, Chief Business Officer of Mattson Technology. “Another challenge is cleaning of these complex structures, as wet chemistry is no longer able to meet the requirements of cleaning the very bottom of the high-aspect ratio features while maintaining device structure integrity.” 
 
(screen dump from mattson.com)
 
“Our new Novyka™ products offer proprietary chemistries in surface cleaning, surface treatment and surface modification. The unique designs of Novyka™ products further extend to enable ultra-high selectivity in removal of thin and delicate layers in 3D device structures,” said Dr. Michael Yang, Executive Vice President and Chief Technology Officer of Mattson Technology. “In addition to delivering the most innovative process solutions to some of the key technical challenges in the industry, Novyka™ products have the lowest running cost, or the best total cost of ownership in their class.”

“We are very excited about the potential of Novyka™ products as we are working closely with several of our most advanced customers on a variety of leading edge applications. With Mattson Technology achieving record revenue and profit in 2017, we continue to relentlessly drive technology innovations and provide uncompromising service to our global customer base,” commented Dr. Allen Lu, CEO and President of Mattson Technology.

Mattson Technology, a Delaware Company, headquartered in Fremont, California, designs, manufactures, markets and supports semiconductor wafer processing equipment. Mattson’s dry strip, plasma etch, rapid thermal processing and millisecond annealing equipment are used in high volume manufacturing by leading memory and logic chip makers around the world. New innovations from Mattson in atomic surface engineering™ address the most critical 3D logic and memory manufacturing challenges. Learn more at www.mattson.com.

Tuesday, March 27, 2018

The Critical Materials Council Conference 2018

For people who think materials matter...
Materials, immediate and future, critical to semiconductor devices
The CRITICAL MATERIALS COUNCIL CONFERENCE 2018
Final Agenda features:
 
Keynote Speaker: David Bloss, VP, Technology and Manufacturing Group Director, Lithography Technology Sourcing, Global Supply Management, Intel Corporation,
"Patterning Challenges and Fab Materials for Future ICs
Also, presenting on Emerging Materials: 
  • Glen Wilk, VP of ALD Products of ASM, providing an insight on High K limits and SAQP challenges
  • Dave Thompson, Sr. Director of Applied Materials, presenting insights on Ruthenium
And focused on Immediate Materials Challenges:
  • Mark Scholefield, Sr. Member of the Technical Staff of GlobalFoundries, providing challenges surrounding silicon wafer quality and associated roadmaps
  • Ken Unfried, Sr. Manager of Linde, providing the latest in issues surrounding neon ad xenon recycling
 ... among a host of other germane and magnetic speakers. The Conference also includes the popular "Not-so-usual Round Tables" with scintillating topics and networking opportunities for all.
 
The CMC Conference provides:
* Updates on market dynamics and regulations
* Trends in the profitable control of all fab materials
* Technology forecasts for future critical materials

Register by April 15th and get entered into a drawing to win a "WiFi Sports Camera."
http://cmcfabs.org/registration/  Courtesy of MegaFluidSystems. 
Click here for the updated agenda
Schedule Details:
Welcome Reception April 25th evening
Sessions I & II, April 26th
Session III, April 27 morning 
Welcome reception, lunch on 26th & coffee/tea breaks are included.


The conference follows the CMC Fabs F2F meeting (on April 24-25) and CMC Members only (Associates and Fabs) Joint Session (on April 25, 2-5pm), located nearby at NXP. For more information about these meetings and/or the Conference, please contact Meena Sher by email by clicking here.

Sunday, March 25, 2018

UPDATED : Report from EFDS ALD for Industry 2018 in Dresden Germany

While we wait for the high class photographs from Martin Knaut, here is a collection of photos and thoughts collected in social media during and after the event. The first days event, the lab tours and tutorials was sponsored by HERALD COST action MP1402 - (LINK).

Dr. Knauts PHOTOS AVAILABLE NOW (LINK)

This year was a success and we grew slightly from last year to 80 participants in the tutorials, more than 90 delegates in total and 18 exhibiting sponsors. Out of the participants more than 70% came for the industry - hope to see more of you next year in April for EFDS ALD for Industry 2019!

Event page (LINK)

Day 1 - Lab tours, Tutorial and Social Event

Tour 1: Fraunhofer IKTS

The Thin Film Technology group at IKTS is engaged in research and development of CVD and ALD technologies for a broad range of applications. Several methods are used:
  • thermal CVD processes at low pressure and atmospheric pressure (LPCVD, APCVD)
  • plasma-enhanced CVD (PECVD)
  • atomic layer deposition (ALD). 
Layer materials like hard coatings, aligned carbon nanotubes (CNT), metal nitrides and dielectrics based on oxides of hafnium, zirconium and titanium and different perovskite materials is the main focus. The applications cover wear-resistant coatings & hard metals, actuating elements, sensors, lithium batteries and energy management and microelectronics.



Pictures form the lab tour as provided by EFDS.

Saturday, March 24, 2018

Measuring Hydrogen, Carbon, Oxygen and Iron Contamination in ALD Films

Contamination in ALD for Semiconductors

Atomic layer deposition (ALD) is a useful technique that can produce films that contain high levels of hydrogen, carbon, oxygen and other metals, which are not desirable elements for semiconductor films. To detect any contamination of these elements in semiconductor films, a number of improve plasma sources in nitride-based ALD grown films can be used. Additionally, X-ray photoelectron spectroscopy (XPS) can also be used to detect accurate composition profiles, however for hydrogen, carbon and oxygen concentrations of 5% or less can become background limited. In cases where the hydrogen, carbon and oxygen profiles are 5% or lower, SIMS analysis is often required.
 
Full article in AZONano as proovided by EAG Laboratories : LINK
 
 

ASM International report recovery in the single-wafer ALD market due to strong 3D-NAND fab invest

Almere, The Netherlands, March 22, 2018 ASM International N.V. (Euronext Amsterdam: ASM) today publishes its 2017 Annual Report.

ASMI's Annual Report is also available on the company's website www.asm.com. The Annual Report includes the Corporate Responsibility Report and the Remuneration Report in order to increase the relevancy and quality of reporting to all stakeholders.

ASMI will hold its Annual General Meeting of Shareholders (AGM) on May 28, 2018. The AGM agenda with all related documents will be available in due time.

MESSAGE FROM THE CEO (asm.com LINK)
In 2017 we achieved significant progress against our strategic targets. Our sales benefited from a clear recovery in the single-wafer Atomic Layer Deposition (ALD) market, in particular driven by strong increases in the 3D-NAND segment. During the year we also successfully expanded our position in the epitaxy market with an important tool win from a leading foundry customer. In total, our revenue increased by 23% to a new record level. 


Please check out the financial data at a glance here (LINK).

Thursday, March 22, 2018

NCD announced to provide solar cell ALD equipment to SF-PV

NCD recently shipped the equipment to SF-PV who is a Chinese solar cell manufacturer. This system is (Lucida GS Series + Automation) to increase the efficiency of solar cells by depositing high quality Al2O3 ALD thin films. It will be installed on the site in the end of March and begin production in April.

Lucida GS Series is batch type ALD deposition equipment that forms backside passivation of Al2O3 on multiple wafers and can process more than 4.500 wafers (@ 4nm thickness) of 156mm x 156mm size per an hour. By applying Lucida GS Series in the production of solar cells, customers can dramatically lower the production cost of high efficiency solar cells due to the high-volume productivity, high yield, efficient gas consumption and low maintenance cost compared to competitors. 


(Lucida™ GS series + Automation)

ALD Applications Symposium at the ECS & AiMES Meeting 2018 Cancun

The Call for Papers for the Joint 233rd ECS & AiMES 2018 International Meeting (Sept. 30 – Oct. 4, 2018 in Cancun, Mexico) contains a call for symposium G02 - Atomic Layer Deposition Applications 14

You are cordially invited to submit your abstract. Abstract due date: March 30, 2018.

This symposium will contain:
Tutorials
“Basics of Atomic Layer Etching” by Dr. Thorsten Lill, Lam Research, USA
“ALD precursors for ALD” by Prof. Chuck Winter, Wayne University, USA

Tentative List of Invited Speakers: including
- Mikko Nisula, Aalto University, Finland, ALD/MLD for thin-film Li-ion batteries”
- Cathérine Marichy, University of Lyon, France, “ALD for gas sensing applications”
- Anil Mane, Argonne National Laboratory, USA, “ALD and ALE of 2D materials”
- Riikka Puurunen, Aalto University, Finland, “Learnings from an Open Science Effort: Virtual Project on the History of ALD”
- Alexey Kovalgin, Twente University, Netherlands, “Comparative study of low-temperature III-V nitrides ALD in thermal and radical-enhanced modes”
- Thorsten Lill, Lam Research, USA, “Atomic Layer Etching”
- Geun Young Yeom, SKKU, Korea, “Layer control of 2D-MoS2 by Atomic Layer Etching and its device characteristics”
- Mark Saly, Applied Materials, USA, “Challenges and applications in Atomic Layer Processing”
- Chuck Winter, Wayne University, USA, “Precursors for ALD”
- Ganesh Sundaram, Veeco, “Innovations in ALD”
- Alex Pearse, Modern Electron, USA, “LiPON ALD for Li-ion batteries”
- Neil Dasgupta, University of Michigan, “Interfacial engineering of energy conversion and storage materials by ALD”.

General info on: https://ecs.confex.com/ecs/aimes2018/cfp.cgi
 
ALD Applications Symposium at the ECS & AiMES Meeting 2018

Monday, March 19, 2018

RASIRC Turns Thermal Mass Flow Measurement of Dilute Reactive Gas Species Inside Out

Company features new thermal mass gas sensor at ALD for Industry 2018

San Diego, Calif – March 19, 2018–RASIRC will present an new thermal mass gas sensor for independent measurement of precursor flow rate at the ALD for Industry 2018 conference held in Dresden, Germany March 21 and 22. The presentation will discuss the importance of precursor gas flow measurement independent of carrier gas flow and will provide experimental performance data with hydrogen peroxide gas. The company will also discuss its metal nitride and metal oxide precursor gases in the exhibits area. 
 

Jeff Spiegelman at ALD for Industry last year (EFDS ALD for Industry 2017, 17-18 January Dresden, Germany)
 
RASIRC is a conference sponsor and will also participate in the exhibition. ALD for Industry is sponsored by the European Society for Thin Films (EFDS) and is a topical workshop focused on industrialization and commercialization of ALD for current and emerging markets.

“Process control is crucial for product yields and materials utilization,” said Jeffrey Spiegelman, RASIRC President and Founder. “New RASIRC monitoring technology solves a difficult problem for highly reactive gases, enabling better process stability and lower materials cost.”

RASIRC Brute Peroxide is a novel oxidant that improves passivation and nucleation density at film interfaces when compared to other oxidants. Surface functionalization is denser and initiation is faster using this anhydrous hydrogen peroxide gas compared with alternatives. This high reactivity allows for less chemistry use, and higher throughput due to shorter required purge times.

BRUTE Hydrazine enables low temperature ALD (sub-350°C) . Highly reactive, BRUTE Hydrazine creates uniform metal nitride deposition on 3D surfaces for barrier layers and atmospheric protection.

RASIRC’s Peroxidizer® provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures.

RASIRC products also include the RainMaker Humidification System (RHS) that generates water vapor for oxidation applications, and the Hydrogen Peroxide Steamer (HPS) for surface cleaning, preconditioning, wet thermal oxidation and residual carbon removal.

About RASIRC

RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box—converting common liquid chemistries into safe and reliable gas flow for most processes. First to generate ultra-high purity (UHP) steam from de-ionized water, RASIRC technology can now also deliver hydrogen peroxide gas and hydrazine gas in controlled, repeatable concentrations. RASIRC gas delivery systems, humidifiers, and closed loop humidification systems are critical for many applications in semiconductor, photovoltaic, pharmaceutical, medical, biological, fuel cell, and power industries. Call 858-259-1220, email info@rasirc.com or visit http://www.rasirc.com.

#####

Contacts:

RASIRC
Jeffrey Spiegelman
Phone: 858-259-1220
E-mail: jeff@rasirc.com

Amazing rock n roll ALD machine from Boulder Colorado

Those of you that follow ALD knows that much of the new cool and exciting ALD processes, precursors and technology comes out of Boulder Colorado and especially the Prof. Geroge Group. Some personal favourites and examples are:

- Tungsten ALD
- Low temperature SiO2 catalytic ALD [LINK]
- Implementation of QCM in ALD as a standard tool
- Reverse ALD with new chemistries a.k.a. thermal ALE
- ALD on particles

Obviously many other labs also work hard on these new approaches but somehow the Gerorge Lab always produce that fundamental benchmarking type or research that you tend to return to long after it was published. The best thing is that all the publications are listed and partially available in the long list of publications (LINK) so it is easy to come back later and find the publications.


Just the other day this amazing video of a rock n roll ALD machine from Boulder Colorado was posted on LinkedIn (LINK) by Alexander Yersak, who is a post doc there. Turn on the volume and have a look yourself!



Video downloaded from LinkedIn (LINK)

Check out Alexander Yersak Research Gate profile for access to some of his publications (LINK) lik these ones for example:
Rapid Characterization of Thin Film Dissolution in Water with in Situ Monitoring of Film Thickness Using Reflectometry
Atmospheric pressure spatial atomic layer deposition web coating with in situ monitoring of film thickness

Picosun announces significant repeat sales of P-300F batch ALD cluster tools

ESPOO, Finland, 19th March, 2018 – Picosun Group, a leading provider of Atomic Layer Deposition (ALD) thin film coating technology for global industries, reports of significant repeat sales of PICOSUN™ P-300F production cluster tools to major US industry customers.

Semiconductor components manufactured on silicon and compound semiconductor wafers are crucial in several everyday consumer electronics products as well as in e.g. transport, aerospace, or industrial automation and power applications. Even if the majority of the most common IC components is produced on 300 mm silicon wafers, the sub-300-mm manufacturing is vital and increasingly important especially for the existing and emerging non-silicon-based devices. Wafer materials limited to max. 200 mm diameter such as SiC, GaN, AlN, sapphire, GaAs, LiNbO3, and LiTaO3 offer various benefits over silicon and enable a generation of completely new, advanced and innovative end products.
The PICOSUN™ P-300F ALD system is specially designed for production of IC components such as microprocessors, memories, and hard drives, and manufacturing of power electronics, mixed signal, and MEMS devices such as print heads, sensors, and microphones (LINK).

Picosun’s core competence are cost-efficient, turn-key ALD production solutions for the fast growing More-than-Moore market. The PICOSUN™ P-300F tool is the flagship product for these customers. Specially designed to be run in cluster configuration under constant vacuum to enable fast and efficient high throughput manufacturing, the P-300F tools are connected together and operated in fully automatic mode with a central vacuum robot substrate handling and transfer system. The unique batch flipping mechanism in the P-300F tool is ideal for manufacturing lines where the most of the process steps take place in horizontal geometry. Cassette-to-cassette loading for up to 50 pcs batches of 200/150/100 mm wafers, SEMI S2/S8 certification, and SECS/GEM option for factory host integration make the P-300F the optimal choice for demanding manufacturing needs for e.g. moisture barriers, capacitors, and SAW/BAW filters.

“We at Picosun are very happy of the success of our P-300F cluster tools. Our customers have obtained unparalleled process results in them, and this excellent performance has now resulted in repeat sales of these tools to our key industrial customers. The purity, uniformity, and barrier properties of the ALD films deposited in these systems fulfil the strictest requirements of today’s semiconductor industries, making the PICOSUN™ P-300F the tool of choice for the forerunners of semiconductor manufacturing,” states Juhana Kostamo, Managing Director of Picosun.

Sunday, March 18, 2018

Beneq is looking for a Field Service Engineer

Beneq is a leading supplier of Atomic Layer Deposition (ALD) equipment and thin film coating services, and the world's premier manufacturer of thin film electroluminescent displays. www.beneq.com

LINK: https://my.teamio.com/recruit/public/vacancy?uid=2092cd2f-e73a-4825-bf4d-f6f9416eb50d&rps=254

Field Service Engineer

for testing and commissioning of thin film coating equipment and supporting customers with upgrade and service projects.

Your key responsibilities include:
  • Preparing and testing of the equipment prior to a shipment.
  • Commissioning of the equipment at the customer site.
  • Visiting customers to service the Beneq equipment at the customer site.
  • Giving technical support to customers on-site or by email and phone.
To succeed in this position, you have:
  • Strong engineering or field service engineer background.
  • Good hands-on skills in assembly and service work.
  • Minimum of Bachelor’s degree in a relevant field of engineering.
  • Solid problem-solving skills, customer-oriented mindset and “can do” attitude.
  • Good time management and interpersonal skills.
  • Solid verbal and written English language skills.
  • Ability and willingness to travel globally up to 100-150 days a year.
Additionally, we appreciate:
  • Education and experience in automation and electric installations.
  • Experience of thin film processes.
  • Experience from the semiconductor industry and processes.
  • Experience and education in chemistry.
The position is located in Espoo, Finland. Traveling to customers is often required.
We offer an opportunity to work in an interesting global business and to develop your competences further. You get to work in a dynamic and challenging working environment with a team of professionals.
For more information you can contact Timo Rantasalmi, Head of Customer Services, Thin Film Solutions, phone +358 9 759 9530, e-mail timo.rantasalmi@beneq.com.
Interested? Please send your application including your CV and your salary request as soon as possible. We fill the position as soon as we have found a good candidate.
Key words: field service, engineering, thin films, equipment

Work location
Espoo
Job type
Full-time work
Duration of employment
Permanent

Beneq Oy

Contact person
Eeva Lehtiö

Wednesday, March 14, 2018

MKS has won significant business from a Korean end-user for ALD high flow of ozone systems

Since basically the start of ALD in high volume DRAM manufacturing on 300 mm wafers, ozone has been employed as the co-reactant for ALD of hafnia and zirconia based high-k node dielectrics in the DRAM capacitor memory cell. The ozone concentration directly controls through-put, uniformity and conformalty of the deposited high-k and most importantly also the high-k dielectric performance of the layer including CET/Leakage performance and log term reliability. Please see this early post on details for these processes (LINK)

Just recently MKS Instruments reported (Seeking Alpha Earnings call transcript, LINK) that they won significant business from a Korean end-user for a high flow of ozone systems used in atomic layer deposition to fabricate DRAM memory devices. In addition, MKS reported to have just received a significant suppler award from another major Korean OEM.

MKS further stated that they have made strategic investments with a significant impact on their semiconductor OEM and end-user businesses. Amazingly, the revenue in Korea has increased 250% since 2012. In 2017 alone, their Korea end-user business grew 114%, while the Korea OEM business grew 96%.

Based on this we may assume that high concentration ozone supply i still crucial for the ALD oxide processes in DRAM manufacturing.

MKS Ozone Gas Generators


photo - SEMOZON Ozone Generator

SEMOZON® ozone gas generators and subsystems are the industry standard for compact, high concentration, ultra-clean ozone gas generation. Applications include Atomic Layer Depostion (ALD), Chemical Vapor Deposition (CVD), cleaning and water treatment.

Link to MKS Instrument Ozone products: LINK

MKS Instruments, Inc. is a global provider of instruments, subsystems and process control solutions that measure, control, power, monitor, and analyze critical parameters of advanced manufacturing processes to improve process performance and productivity.  The Company’s products are derived from core competencies in pressure measurement and control, flow measurement and control, gas and vapor delivery, gas composition analysis, residual gas analysis, leak detection, control technology, ozone generation and delivery, RF & DC power, reactive gas generation, vacuum technology, lasers, photonics, sub-micron positioning, vibration isolation, and optics.  MKS’ primary markets include semiconductor capital equipment, general industrial, life sciences, and research.  Additional information can be found at www.mksinst.com.

RMD Dynasil employ CdTe ALD process for passivating HgCdTe IR sensors

Dynasil’s Radiation Monitoring Devices (RMD) is a world-renowned expert in the scintillation, photodetection, and radiation detection industries. At the recent Dynasil Corporation of America Annual Stockholder Meeting and Investor Presentation Conference Call it was reveiled that they have commericiallized an ALD process for passivating HgCdTe sensors with semiconductor-grade CdTe layer. The ALD coating is conformal, continuous and pinhole-free. Such low temperature CdTe ALD films have been previously published by Bengi Hanyaloglu et al (LINK).

Applications for these sensors are for:
  • Space IR telescopes
  • Tactical night vision googles and binoculars etc.
Peter Sulick - Chairman, President & CEO has this to say about the application (Seeking Alpha call transcript LINK)

"Another exciting area for research that is going on at RMD is its activation of the mercaptal infrared arrays, third-generation infrared arrays, and as people in the optic suite are well aware, this mercaptal infrared arrays require stabilization on the surfaces because of the semiconductor bonds being open at the surfaces. And one of the ways to do that is through activation layer of mercaptal films, and RMD has developed a proprietary technology using atomic layer deposition to deposit this mercaptal films. And the important advantage that our technology brings is that these are controllable films, which can be deposited at much lower temperatures than currently thought, and that can have a big impact in the yield and in the utility of these infrared sensors. So we are excited about where this area is going."


RMD has developed an ALD process for passivating HgCdTe sensors with semiconductor-grade CdTe layer. The ALD coating is conformal, continuous and pinhole-free. (Dynasil 2018 Annual Meeting Management Presentation LINK



Recent metal oxide ALD publications in JVSTA for free download

Here is a list of recent ALD Papers published in JVSTA on the topic of metal oxides. The best of all The following articles are free to download for next 30 days!

Source: JVSTA News Letter



High-k oxides by atomic layer deposition - Applications in biology and medicine
Marek Godlewski, Sylwia Gierałtowska, Łukasz Wachnicki, Rafał Pietuszka, Bartłomiej S. Witkowski, Anna Słońska, Zdzisław Gajewski, and Michał M. Godlewski | Read More

Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
Christie J. Trimble, Trevor Van Engelhoven, Anna M. Zaniewski, Manpuneet K. Benipal, and Robert J. Nemanich | Read More
 
Al2O3/SiOnanolaminate for a gate oxide in a GaN-based MOS device
Daigo Kikuta (菊田大悟 ), Kenji Itoh (伊藤健治 ), Tetsuo Narita (成田哲生 ), and Tomohiko Mori (森朋彦 ) | Read More

Aluminum oxide/titanium dioxide nanolaminates grown by atomic layer deposition: Growth and mechanical properties
Oili M. E. Ylivaara, Lauri Kilpi, Xuwen Liu, Sakari Sintonen, Saima Ali, Mikko Laitinen, Jaakko Julin, Eero Haimi, Timo Sajavaara, Harri Lipsanen, Simo-Pekka Hannula, Helena Ronkainen, and Riikka L. Puurunen | Read More

Atomic layer deposition of tin oxide thin films from bis[bis(trimethylsilyl)amino]tin(II) with ozone and water
Jere Tupala, Marianna Kemell, Miika Mattinen, Kristoffer Meinander, Sanni Seppälä, Timo Hatanpää, Jyrki Räisänen, Mikko Ritala and Markku Leskelä | Read More

Atomic layer deposition of molybdenum oxide using bis(tert-butylimido)bis(dimethylamido) molybdenum
Adam Bertuch, Ganesh Sundaram, Mark Saly, Daniel Moser, and Ravi Kanjolia | Read More

Spatial atomic layer deposition on flexible porous substrates: ZnO on anodic aluminum oxide films and Al2O3 on Li ion battery electrodes
Kashish Sharma, Dmitri Routkevitch, Natalia Varaksa, and and Steven M. George | Read More

Come meet the German ALD Industry next week in Dresden - everybody will be there!

A topical workshop with focus on industrialization and commercialization of ALD for current and emerging markets

Atomic Layer Deposition (ALD) is used to deposit ultrathin and highly conformal thin films. ALD is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. According to market estimates the equipment market alone is currently at an annual revenue of US$ 1.5 - 1.7 billion (2017) and it is expected to double in the next 4-5 years.

In a European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users. Here, Dresden and Saxony isa unique ALD hotspot due to a strong semiconductor and equipment industry.

The Event will focus on the current markets for ALD, besides the leading edge semiconductor industry, applications in MEMS and Sensors, Display, Lightning, Barriers and Energy Devices will be addressed.


Location: HYPERION Hotel Dresden am Schloss, Dresden (Deutschland)

Program: LINK

Regsitration: LINK




Tuesday, March 13, 2018

Thermal ALD of aluminum metal at low temperature

Aluminum metal is important in semiconductor devices and as a metal itself in metallization and as an alloy in e.g. TiAl metal gates or TiAlN electrodes. Prof. Winter and his team at Wayne State have previously published new paths to thermal ALD of titanium, here they published their recent achievement for thermal ALD of aluminum!

Atomic Layer Deposition of Aluminum Metal Films Using a Thermally Stable Aluminum Hydride Reducing Agent

Kyle J Blakeney and Charles H. Winter
Chem. Mater., Just Accepted Manuscript

The thermal atomic layer deposition of aluminum metal films at temperatures as low as 100 °C is described using AlCl3 and a new aluminum dihydride complex that is supported by a bulky amido-amine ligand. A growth rate of about 3.5 Å/cycle was observed within a 120-160 °C ALD window and self-limiting growth was established for both precursors. Resistivities as low as 3.03 Ω·cm were obtained for the aluminum metal films. Root mean square surface roughnesses were 19-23% of the film thicknesses, as determined by atomic force microscopy. Films grown on TiN substrates were crystalline by X-ray diffraction. X-ray photoelectron spectroscopy of films grown at 100 and 140 °C showed Al (> 94 at%) with C and Cl impurities below the detection limit (< 1 and 0.5 at%, respectively). Accordingly, this process affords high purity, low resistivity aluminum metal films.


SoLayTec ships new ALD order to Asia for PERC cell manufacturing

North American Clean Energy reports (LINK): Amtech Systems, Inc. (NASDAQ: ASYS), a global supplier of production equipment and related supplies for the solar, semiconductor, and LED markets, announced its solar subsidiary, SoLayTec B.V., has shipped a next generation solar Atomic Layer Deposition (ALD) to an Asian customer. While the PV market is shifting towards high efficiency cell designs, production with high yield, A-grade cells, is getting increasingly important. 
 

Fokko Pentinga, CEO and President of Amtech, commented, "For this project we are delivering the equipment for the PERC line upgrade and assisting the customer with the PERC ramp-up and line integration. PV manufacturers are experiencing extreme price pressure, resulting in an increasing need for higher cell quality with narrow cell efficiency distribution. The highest line yield possible of A-grade cells is essential to ensure good margins for these advanced production lines. Al2O3 wrap-around during deposition will result in reduction of the cell efficiency by as much as 0.2%, as well as front side color variations. Our ALD equipment addresses PV manufacturers' challenges, by delivering the highest PERC cell efficiency using Al2O3,, highest A-grade cells, and stable deposition process without any wrap around. Another challenge we address for A-grade cells is scratch free manufacturing of SiNx deposition for rear-side capping and front-side ARC. Our scratch free solution using our direct-plasma PECVD equipment is gaining momentum, with shipments and order backlog totaling more than 1GW."

Wednesday, March 7, 2018

NCD Contracted with Risen Energy to supply 1.8GW solar cell ALD equipment

NCD recently signed the largest contract with Risen Energy, a Chinese solar cell manufacturer, to provide ALD equipment in the next six months. This agreement is to supply 1.8GW solar cell manufacturing equipment, so it indicates that the superiority and reliability of NCD’s ALD equipment has been fully proven to the customer.

This system is (Lucida GS Series + Automation), the main product of NCD's solar division, to increase the efficiency of solar cells by depositing high quality Al2O3 ALD thin films. Lucida GS Series is batch type ALD deposition equipment that forms backside passivation of Al2O3 on multiple wafers and can process more than 4.500 wafers (@ 4nm thickness) of 156mm x 156mm size per an hour. By applying Lucida GS Series in the production of solar cells, customers can dramatically lower the production cost of high efficiency solar cells due to the high-volume productivity, high yield, efficient gas consumption and low maintenance cost compared to competitors.

Lucida GS Series has become the first choice for ALD process in high efficiency solar cell manufacturing, based on its advantages and excellence. NCD expects to record the best sales by the rapid sales growth in the solar sector in 2018. 


(Lucida GS Series + Automation)