Tuesday, October 1, 2024
ASM launches 200 mm PE2O8 silicon carbide epitaxy system
Sunday, June 16, 2024
Boosting the Future: Increased ALD Use Paves the Way for Advanced GAAFET Technology
The Biden administration is considering a complete ban on the export of chips utilizing Gate All-Around Field Effect Transistor (GAAFET) technology to China, Bloomberg reports (LINK). The rationale behind this potential ban is the concern that such advanced transistors could be leveraged for military applications and artificial intelligence (AI) advancements by China. This move follows previous restrictions from 2022, when the U.S. barred its Electronic Design and Automation (EDA) companies from selling tools necessary for GAAFET development to China. In addition, advanced chip exports from companies like Nvidia were restricted, with these measures being progressively tightened and expanded over time.
Atomic Layer Deposition (ALD) is celebrating its 50th anniversary in 2024. The anniversary marks 50 years since Dr. Tuomo Suntola and his colleagues filed the first patent for Atomic Layer Epitaxy in 1974, which laid the foundation for ALD technology. This milestone will be celebrated at various events, including the ALD 2024 conference, where Dr. Suntola is expected to deliver the opening remarks .
The production of GAAFETs requires a significant increase in the use of ALD technology - maybe up to 40% more according to ASM. ALD is essential for creating the ultra-thin, uniform films needed for GAAFET structures, ensuring high-quality, defect-free layers that are critical for advanced transistor performance. This technology enables precise control over the deposition process, crucial for developing high-k dielectrics and other materials that enhance GAAFET performance and efficiency. As the semiconductor industry now transitions from FinFET to GAAFET technology, leveraging ALD's capabilities is vital for maintaining and advancing Moore's Law, enabling more powerful and energy-efficient chips using existing manufacturing infrastructure
Applied Materials has outlined next-generation tools essential for producing 3nm and GAA transistors, such as those in Samsung's upcoming 3GAE and 3GAP technologies. These advanced tools address the complexities of GAA transistor manufacturing, including precise lithography, epitaxy, and selective materials removal. Applied's Producer Selectra Selective Etch IMS tool is pivotal in defining channel width without damaging surrounding materials, while the Centura Prime Epi tool ensures clean deposition of Si and SiGe nanosheets. Additionally, their Integrated Materials Solution (IMS) systems integrate atomic layer deposition (ALD), thermal steps, and plasma treatments to optimize the gate oxide stack, enhancing performance and reducing gate leakage. These innovations are crucial as they enable higher performance, lower power consumption, and greater transistor density, aligning with the industry's move from FinFET to GAA technology.
Today GAA transistors are currently in mass production only by Samsung, which offered the technology to customers with its 3-nanometer process in 2022. Intel is set to follow, producing GAAFET on its 2-nanometer process expected to be available in its products later this year. TSMC, the market leader, plans to introduce GAAFET with its own 2 nm process in 2025. The GAAFET technology itself is not inherently suited for AI or military applications but represents an evolution in transistor design, enabling denser packing of transistors as lithography equipment and manufacturing processes advance. This technology shift, akin to transitioning to a new node, typically results in either reduced power consumption or improved performance by 15-25%.
The improvements facilitated by GAAFET could significantly enhance the capabilities available to China. SMIC, China's largest contract manufacturer, currently produces chips on a 7 nm process and is believed to be capable of reaching at least 5 nanometers with existing tools. The combination of this process with GAAFET could theoretically prevent China from falling too far behind Western advancements. However, China has been effectively shut out from developing GAAFET using tools from leading EDA companies, all of which are American. Additionally, the Dutch company ASML, dominant in the lithography equipment market, has not sold its EUV (Extreme Ultraviolet) machines to China and faced further restrictions in 2023 on selling its advanced DUV (Deep Ultraviolet) equipment. In April 2024, ASML took another step in the tech war against China by announcing that it would no longer service existing equipment in China, potentially crippling the country's semiconductor manufacturing capabilities. The specific details of the new export bans are still unclear, but Reuters notes that initial proposals have faced criticism from the U.S. semiconductor industry for being overly broad and extensive.
Source: USA överväger ytterligare GAAFET-sanktioner mot Kina – Semi14, www.ASM.com, Applied Materials Outlines Next-Gen Tools for 3nm and GAA Transistor Era (anandtech.com), Atomic layer deposition, next-gen transistors, and ASM (techfund.one)
Thursday, April 25, 2024
ASM a revenue of €639 million Q12024 - driven significantly by sales in Atomic Layer Deposition (ALD) and Epitaxy (Epi) technologies.
The company reported a revenue of €639 million, at the upper end of their guidance, driven significantly by sales in Atomic Layer Deposition (ALD) and Epitaxy (Epi) technologies.
- Gross margin increased to 52.9%, largely due to strong sales performance in the Chinese market.
- New orders reached €698 million, marking a 10% increase from the previous year, mainly driven by the foundry sector. The company expects continued demand for gate-all-around technology, with significant orders anticipated in the second half of the year.
- Despite a slowdown in certain segments like power/analog/wafer, ASM International maintains a strong financial position with a cash reserve of €720 million at the end of the quarter. Sales in China are expected to remain robust.
Wednesday, February 28, 2024
ASM International: Spearheading Semiconductor Innovation in ALD, Epitaxy, and CVD Markets
“2023 was another successful year for ASM. Sales increased by 13% at constant currencies, despite softening market conditions, and marking the seventh consecutive year of double-digit growth.” said Benjamin Loh, CEO of ASM. “Revenue in Q4 2023 amounted to €633 million, in line with our guidance of €600-640 million and down compared to the level in Q4 2022. Revenue in the quarter was supported by strong sales in the power/analog/ wafer segment. Bookings at €678 million were slightly better than our expectation and were driven by GAA pilot- line orders and continued strength in China demand.
ASM's Leadership in the Growing ALD Market
According to ASM, the single wafer Atomic Layer Deposition (ALD) market is experiencing significant growth, with projections indicating an increase from $2.6 billion in 2022 to a range of $4.2 billion to $5.0 billion by 2027. This growth, characterized by a Compound Annual Growth Rate (CAGR) of 10-14% from 2022 to 2027, underscores the expanding role of ALD technology in semiconductor manufacturing. ASM International, a key player in the semiconductor industry, holds a dominant position in this market, commanding a share of over 55% throughout the forecast period.
Please note that this market assessment, most probably originally from TechInsights (prev. VLSI Research) does not include Large Batch furnace ALD, which historically have been about 30% of the total 300 mm ALD equipment market. The leaders in this segment are Tokyo Electron followed by Kokusai and ASM chose not to compete with its A412 ALD product line.
Driving Forces Behind ALD Market Expansion
The expansion of the ALD market is propelled by a series of technological advancements and increasing demands within the semiconductor sector. Key factors contributing to this growth include the industry's shift towards Gate-All-Around (GAA) technology, the necessity for advanced high-k gate dielectrics, and the precision required for threshold voltage tuning. Additionally, the development of sacrificial layers and the use of high aspect ratio Through-Silicon Vias (TSVs) are critical in advancing semiconductor manufacturing techniques. The application of metals and the adoption of selective ALD processes further accentuate the importance of ALD technology in modern semiconductor fabrication.
ASM's Strategic Positioning and Market Opportunities
ASM is well-positioned to capitalize on the opportunities presented by the burgeoning ALD market. The company's strategic emphasis on innovation, coupled with its comprehensive product portfolio, positions ASM as a frontrunner in meeting the evolving needs of the logic/foundry and memory segments of the semiconductor industry. The transition to advanced manufacturing technologies, such as GAA and high-k metal gate applications, presents significant growth avenues for ALD, with ASM at the forefront of this technological evolution.
To be more specific, the transition to GAA technology and the expansion in FinFET applications are set to significantly increase ASM's served available market by approximately US$400 million for every 100,000 wafer starts per month (WSPM). According to ASM, the equipment orders started to come in in the 2nd half of 2023. We can assume that this are orders from Samsung, TSMC and Intel. It is however about peculiar since Samsung had 3 nm GAA going already with yield in August 2023 and ASM is describing it as GAA pilot lines. Anyhow, come 2028 when all leading foundries including Rapidus in Japan are up and running GAAFETs, this additional market will be + USD 1.5 B as compared to if it would have been "only" FinFET technology - according to my back of the envelope calculations. For a company like ASM, with just below USD 3 B (2.6 B EUR) annual Revenue 2023 this is a huge thing. If this is not enough to go woah - add to that the GAAFET market is an upwards moving target and will continue to grow and looking ahead stacking of NMOS/PMOS will drive further demand for this type of ALD and Epi processes.
Expansion into the Epitaxy and CVD Markets
The Silicon Epitaxy (Si epi) market is also on a growth trajectory, with forecasts suggesting it will reach between $2.3 billion and $2.9 billion by 2027. ASM aims for a market share target of over 30%, focusing on both leading-edge and non-leading-edge segments. The leading-edge growth is driven by transitions to GAA technology and advancements in high-performance DRAM, while the non-leading-edge growth is buoyed by wafer power analog and strong momentum from ASM's Intrepid ESA. The epitaxy market is expected to see a Compound Annual Growth Rate (CAGR) of 3-8% from 2022 to 2027, with the leading-edge segment outpacing the overall market with a CAGR of 10-15%.
Regarding the SiC market, the investor presentation highlighted significant growth in power/analog/wafer revenue, almost doubling, primarily driven by robust demand in China. This growth was positively impacted by the consolidation of LPE (SiC Epitaxy), with sales comfortably exceeding the target of more than €130 million in 2023. This indicates ASM's strong performance in the SiC market and its successful integration and expansion in SiC epitaxy, aligning with the broader industry trend towards more advanced and efficient semiconductor materials.
Chemical Vapor Deposition (CVD) technology is another area of focus for ASM, particularly in the context of transitioning to new materials like Molybdenum, which is replacing traditional materials such as CVD Tungsten and PVD Copper in interconnect applications. This shift is indicative of the evolving needs within the semiconductor manufacturing process and highlights ASM's adaptability to changing market dynamics.
In summary, ASM's strategic initiatives in ALD, Epitaxy, and CVD technologies underscore the company's commitment to innovation and leadership within the semiconductor equipment market. Through a combination of market foresight, technological prowess, and strategic investments, ASM is well-positioned to capitalize on the growth opportunities presented by the evolving semiconductor landscape.
Wednesday, December 6, 2023
ASM International Announces $300M Expansion in Arizona, Boosted by Dutch-U.S. Collaboration with Prime Minister Mark Rutte's Support
“Arizona and the U.S. are valuable partners in the Netherlands’ mission to co-create solutions that promote innovation and partnerships in the global semiconductor value chain,” said Mark Rutte, Prime Minister of the Netherlands. “It is through these types of cross-border collaborations that we are shaping the future of advancements in this growing industry.”
Tuesday, August 22, 2023
ASM International N.V. has completed the purchase of a strategic plot of land in Scottsdale, Arizona.
Friday, June 30, 2023
U.S. and Netherlands Tighten Restrictions on Chipmaking Equipment Sales to China, Impacting ALD and ASM International
The United States and the Netherlands are set to impose stricter restrictions on the sale of chipmaking equipment to China, aiming to prevent the use of foreign technology for military strengthening. In their efforts to curb China's access to advanced semiconductor technology, the Dutch government plans to restrict equipment from ASML, the leading chip equipment maker in the Netherlands, while the U.S. plans to further withhold Dutch equipment from specific Chinese fabs. These measures will impact atomic layer deposition (ALD) firm ASM International as well.
Besides ASM and Lithography, ASM International and ALD is of national interest to The Netherlands. During the recent Royal State Visit of King Willem-Alexander and Queen Máxima of the Netherlands to imec, ASM, a long-standing partner of imec, was in attendance. With over 30 years of partnership, ASM has made significant investments in research and development and maintains a substantial on-site team at imec known in the industry as ASM B or ASM Belgium. During the visit, ASM had the opportunity to highlight its role in the semiconductor ecosystem of both the Netherlands and Belgium, emphasizing how this collaboration connects Europe to advanced semiconductor manufacturing activities on a global scale. (Source: ASM LinkedIn)
ASML, Europe's largest chip equipment company, dominates in lithography, a crucial step in the chip manufacturing process. The Dutch government intends to announce new regulations, including a licensing requirement, for ASML's deep ultraviolet (DUV) semiconductor equipment. ASML's more sophisticated extreme ultraviolet (EUV) lithography machines are already restricted and have never been shipped to China. The U.S. is expected to identify specific Chinese facilities, possibly including those operated by SMIC, China's largest chipmaker, in a new rule that restricts foreign equipment containing any U.S. parts. ASM International, an ALD firm, is also likely to be impacted by the new Dutch regulations.
The U.S. and Dutch measures aim to prevent China from gaining access to advanced chipmaking technology that could be used for military purposes. These actions reflect the ongoing tensions between the U.S. and China regarding national security concerns and technological competition. While the exact details and timing of the restrictions may change, the increasing limitations on chipmaking equipment sales are expected to have significant implications for the global semiconductor industry and the supply chain dynamics in the coming months.
Sources:
US, Dutch set to hit China's chipmakers with one-two punch | Daily Mail Online
State visit to Belgium – programme | News item | Royal House of the Netherlands (royal-house.nl)
Friday, June 2, 2023
ASM breaks ground on new state-of-the-art innovation and manufacturing center in Hwaseong, Korea
ASM International N.V. is embarking on the construction of a state-of-the-art innovation and manufacturing center in Hwaseong, South Korea. With a groundbreaking ceremony to be held soon, the expansion project is part of ASM's US$100 million investment plan and follows a Memorandum of Understanding signed with the Ministry of Trade, Industry and Energy of South Korea. The investment aims to bolster ASM's research and product development infrastructure and increase manufacturing capacity to meet the growing demand for semiconductors. The company also intends to create job opportunities in engineering, R&D, and manufacturing, contributing to workforce development in Korea.
The ceremony will be attended by ASM's CEO, Benjamin Loh, and other dignitaries, including political figures and business partners. Loh expressed his enthusiasm for the expansion in Hwaseong, highlighting the potential and dedication of the Korean people. The investment signifies ASM's commitment to Korea and its ambition to grow the business while enabling the development of advanced technologies in the semiconductor industry. Hwaseong has played a vital role in ASM's growth, serving as a hub for advanced R&D and technology for both local and global customers.
The existing Hwaseong facility, which currently employs over 450 people, will be expanded to accommodate more employees as the company continues to grow. The new extension will add 31,000 m2 across eight floors, more than doubling the facility's R&D area and nearly tripling its manufacturing area. YK Kim, Chairman of ASM Korea, emphasized that the expansion is an investment in people and the semiconductor ecosystem in Korea, aiming to support the country's semiconductor industry growth in collaboration with the government, customers, and suppliers.
ASM's commitment to Hwaseong demonstrates its dedication to the Korean market and its goal of facilitating domestic semiconductor innovation while meeting global customer demands. The company's local R&D team has been instrumental in developing groundbreaking technologies in the industry, such as ALD QCM quad chamber modules, TENZA™ ALD for high aspect ratio gap-fill, and high-quality PEALD silicon and metal oxides and nitrides for various applications.
Release of TENZA(TM): BALD Engineering - Born in Finland, Born to ALD: ASM International launches TENZA ALD Quad Chambers for silicon oxide gap-fill and liners on the XP8 platform
ASM Korea MOU: BALD Engineering - Born in Finland, Born to ALD: Dutch ALD euipment leader ASM to invest $100 mil. in Korea for facility expansion
Thursday, June 1, 2023
ASM faces restrictions on exporting advanced chip equipment to China, impacting its operations.
- ASM faces restrictions on exporting advanced chip equipment to China, impacting its operations.
- Despite the curbs, ASM experiences a boost in demand driven by the growing need for artificial intelligence (AI) technologies.
- The CEO of ASM believes that achieving complete decoupling between the United States and China will be a challenging task.
Thursday, February 2, 2023
Dutch ALD euipment leader ASM to invest $100 mil. in Korea for facility expansion
Monday, December 19, 2022
Thin layers paved the way for ASMI’s success - Outgoing CTO Ivo Raaijmakers explains what atomic layer deposition has brought the semiconductor industry
This vision has come true. The technique that ASMI acquired through Microchemistry – atomic layer deposition (ALD) – has become an integral part of the semiconductor manufacturing process. And that’s just the beginning, according to Raaijmakers. The demands placed on material layers are ever higher – thinner, more uniform, featuring just the right properties – and ALD is the best technique to meet them, the former CTO argues.
Well before ALD had proven itself in the deposition of high-k materials, Raaijmakers was already looking at which applications would follow. On his initiative, ASMI acquired Korean company Genitech in 2004, a specialist in plasma-enhanced ALD technology (PEALD). Plasmas are more reactive than un-ionized gases and therefore can deposit materials at lower temperatures. Because chipmakers often have to deal with strict temperature budgets, PEALD expands the scope of ALD.
Tuesday, October 4, 2022
ASM International has completed the acquisition of Italian Silicon Carbide Equipment Manufacturer LPE S.p.A.
Saturday, September 3, 2022
ASM reports that the ALD market is expected to grow by a CAGR of 16%-20% from 2020 to 2025
ASM International presented its Q2/2022 report in July and a new record orders of EUR 943 million driven by robust new node spending in logic/foundry and by recent wins in memory, particularly for ALD gap-fill in 3D-NAND and continued adoption of HKMG in DRAM.
- TechInsights expects WFE to increase by 21% in 2022 (July 2022)
- Strongest growth in leading-edge nodes, spending on 7nm and below expected to grow from ~25% of WFE in 2020 to ~42% of WFE in 2025
- Gartner expects total WFE to increase by 19% in 2022 (July 2022)
Friday, July 15, 2022
ASM International launches TENZA ALD Quad Chambers for silicon oxide gap-fill and liners on the XP8 platform
Tuesday, July 12, 2022
ASM Internaltional launces new 300 mm Vertical batch for LPCVD and ALD
The A412 PLUS is for 300mm wafers, while the A400 is for 200mm and smaller wafers sizes
Wednesday, April 6, 2022
Senior Process Engineer I- Coating at ASM Phoenix AZ
About the job
We’re not like most. We don’t just overcome obstacles – we don’t see them. Instead, we see the potential in every person, and every situation. We don’t wait for opportunity to appear – we create it. Meet ASM. A company that has been searching for people just like you.Who is ASM?
ASM is a leading, global supplier of semiconductor wafer processing equipment. Our ambitious team is dedicated to delivering innovative technology solutions to the world’s leading semiconductor manufacturers. We have over 2,600 employees based in 14 countries, including Belgium, Japan, Netherlands, South Korea, Singapore, Taiwan and United States. Together we work to develop Epitaxy, ALD, PEALD, Vertical Furnaces and PECVD thin-film deposition technologies for our customers. Our goal is to remain an industry leader by being ahead of what’s next. We accomplish this by focusing on finding collaborative solutions to make integrated circuits, or chips, smaller, faster and even more powerful.
ASM, an inclusive workplace
We at ASM are a truly global organization that works diligently with an open-mind in all areas of our business. We strive for a culture and work style that fosters trust and transparency. We put our people first, and that is how we will continue to succeed. We are an equal opportunity employer and value diversity. We recognize and value the differences between individuals, including gender, ethnicity, religious beliefs, sexual orientation, knowledge and experience, work background, age, skills, amongst others. Recruiting and developing a diverse workforce provides a wide range of perspectives. This enables a culture of continuously exploring and adopting new technological ideas and innovations, and it also enables us to deliver excellent products and service to our clients.
Essential Functions
- Work with a safety-first mentality
- Drive ASM process protocol with emphasis and regularly institute or update service solutions to the field
- Work on assigned CIP projects, develop DOE’s and communicate openly both internally and externally.
- Focus on refresh of IP for legacy products and influence new design IP.
- Provide “novelty” to items making them hard to reproduce
- Improve upon existing design for performance and cost efficiency
- Generate project scope documentation and prepares specific project reports against identified schedule.
- Building stakeholder consensus to deliver approach
- Collaboratively manages global stakeholders including end users, technicians, engineers, procurement, spares, manufacturing, subject matter experts as well as management in both business and / or operations to project specific details.
- Applies project management principles to produce cooperative and collaborative efforts, deliver business strategic objectives and fill the end customer needs.
- Consistently provide quality solutions to field and customer personnel and create new BKM’s.
- Exercise good judgment within broadly defined practices and policies in selecting methods, techniques, tools and evaluation criteria for obtaining desired results
- Be willing to travel domestically and internationally as required.
- BS or MS Degree in Mechanical engineering, Electrical Engineering, Material Science or related degree required.
- 5+ years of experience in the semiconductor field.
- Equipment Engineering experience with design, and development of complex mechanical systems including comprehension of: reactors, vacuum systems and chambers, gas delivery systems, abatement systems, pneumatics, water cooling, and circuits required. Ability to implement, maintain, improve electrical instruments, equipment, facilities, components, products, systems and provide novel solutions for the semiconductor equipment industry. Comprehension of PLC's and functionality.
- Should have a broad based background in analysis and debug of complex electrical and/or mechanical systems
- Semiconductor capital equipment experience is preferred.
- Understanding of materials: metals, plastics, surface coatings and surface finishes.
- Deposition product knowledge a plus (CVD, ALD, EPI, PVD). Knowledge of ASM Emerald tool distinct plus.
- Design applications Pro/ENGINEER, AutoCAD, and Hands on experience with FEA and CFD analysis preferred. Solid ability to read and understand P&IDs, Electrical, and Mechanical schematics and drawings.
- Proficiency in ANSI Y14.5 geometric dimension and tolerance.
- Ability to rectify a challenging situation and gain customer (Internal and external) confidence
- Strong positive attitude, ability to work in a team environment and work alone. Able to drive meetings, both internal and external, with excellent internal ASM relations
- Ability to work responsibly with all ASM resources and departments in a multifunctional high paced atmosphere with minimum supervision on highly complex problems
- Ability to handle multiple tasks simultaneously and be able to organize and run projects
- Strong computer skills, working knowledge of MS Word, Excel, PowerPoint, SharePoint, Project, and SAP
- Drive ASM SOP’s and protocol, able to guide co-workers toward common goals
- Become an active member of ASM GSS core teams
- Excellent communication skills; speak and write in a clear and concise manner, and ability to accurately and fully convey issues to peers, management, or customers
- Strong organizational skills and leadership qualities
- Accurate, timely reporting
- Parts tracking, ordering, managing, Setting BOM levels
- Technical writing background. Ability to create procedures, written in an informative manner that eliminates ambiguity and personal interpretation
- Training skills, be able to communicate with customers and their employees on maintenance procedures, preventative maintenance, and operating specific equipment. Be the content expert with ability to provide onsite support when needed
- Process comprehension, be able to understand basics of creating process recipes focusing on the ALD process.
- Understanding of chemistry interactions with different materials. Gas and liquid chemistry knowledge is a bonus
- Experience with Equipment installs Organizing/Coordinating trades, SL1, SL2, commissioning and qualifications to specific standards.
- SPC creation with JMP/Statistical analysis and ability to drive root cause solutions through data driven decisions
Be part of our exciting future and join our team today!