Monday, July 30, 2018

Gelest Announced Diiodosilane Commercialization for PEALD Silicon Nitride

MORRISVILLE, Pa., July 27, 2018 — Semiconductor material Gelest Inc. has announced the commercializing of diiodosilane to meet the global demand of the semiconductor industry for next-generation semiconductor chips.

Diidosilane (LINK)

Gelest’s dedicated diiodosilane plant in Morrisville is fully operational and can produce commercial quantities of diiodosilane with purity in excess of 99.9 percent. Diiodosilane is a key chemical precursor used by semiconductor companies worldwide in the development and scale-up of next-generation semiconductor chips that require high-throughput, highly conformal silicon nitride thin films.

Diiodosilane is typically deposited by plasma-enhanced atomic layer deposition to create the silicon nitride thin film. Gelest sees a significant increase in demand for high purity diiodosilane driven by development and production of semiconductor chips requiring silicon nitride thin films at low thermal budgets.

Gelest is a manufacturer of specialty materials for the semiconductor industry.

Source : Photonics LINK

Gelest is sponsoring and exhibiting at the AVS ALD2018 conference currently taking place in South Korea (LINK)  

Friday, July 27, 2018

RASIRC low temperature ALD of silicon and metal nitrides

Silicon and metal nitrides are extensively used in the semiconductor industry in manufacturing of logic and memory chips that you will find in all smartphones, laptops, PCs and internet servers as well as any IoT device. Silicon nitride (SiN) is used as dielectric insulating layers, device layers, liners, spacers, etch hardmasks, etch stops, on the wafer backside to compensate wafer bow and many additional applications. Metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) have applications as electrodes in capacitors, high-k metal gate transistor stacks, hardmasks, metal diffusion barriers, and as liner/seed for metal contact deposition.

In particular, TiN has been shown to act as an efficient diffusion barrier to WF6 during W metal fill. Similarly, TaN is commonly utilized as a diffusion barrier to Cu on low-k insulators, as Cu can readily diffuse into insulators lowering device reliability.

Traditionally SiN has been deposited by PECVD or LPCVD but since the introduction of ALD in high volume manufacturing in 2004, many CVD processes have been replaced by ALD processes. However, in the case of thermal ALD it has proven difficult to find a silicon precursor that works adequately below 600 °C limiting use above transistor level.

Metal nitride applications that do not require low resistivity film use metal organic precursors. For example, TDMAT grown films are useful for low temperature backend processing where using metal-halide precursors, such as TiCl4, is prohibitive due to issues of metal corrosion and the need for high process temperature.

Metal halide precursors, plasmas and higher process temperatures are used to reduce film resistivity in both CVD and ALD processes. However, the general trend in semiconductor processing is to reduce the thermal budget. Therefore plasma CVD and ALD processes have been deployed.

Plasma enhanced processes have disadvantages vs thermal processes when it comes to two things in particular:
  • Relatively lower step coverage because the plasma species tend to recombine fairly fast as these travel down into deep and narrow structures 
  • Plasma damage – the plasma may damage the surface or device layer below as well as promote growth of interfacial layers
For these reasons the semiconductor industry has actively looked into alternative and more effective co-reactants to reduce temperature required to deposit high quality films. In recent years Prof. Winter and co-workers at Wayne State have presented a number of processes using novel co-reactants (LINK) and some have investigated using hydrazine or hydrazine derivatives to push down the thermal activation barrier to lower temperatures. However, any rocket scientist out there should know that hydrazine is pure rocket fuel and very dangerous to handle and therefore has a number of restrictions for import, logistics and fab safety requirements. To safely handle and facilitate hydrazine in high volume manufacturing has been a challenge taken on by RASIRC and they have proven their BRUTE® Hydrazine Technology (see details further down) for both SiN, TiN and TaN as showcased below.

Low temperature thermal ALD of silicon nitride

As mentioned above, PEALD of SiN is increasingly important to be able to grow high quality films below 400 °C but suffers from poor 3D conformality in extreme high aspect area structures and dense high surface area arrays.

RASIRC in collaboration with The University of Texas, Dallas has recently developed a low temperature thermal ALD process using the standard silicon precursor in CVD, HCDS and their new hydrazine formulation for a liquid source anhydrous hydrazine in a proprietary solvent. The technology delivers a high purity (less than 800 ppb water) into the carrier gas flow with a high vapor pressure of 12-14 Torr at R.T.. For more detail see “Titanium Nitride ALD using Ultra-high Purity Hydrazine at Low Temperature” presented at AVS 2017 in Orlando, Florida.

In a custom made ALD reactor the source was used to demonstrate thermal ALD at 250 to 400°C with high refractive index and a GPC in the range of 0.4 to 0.5 A/cycle. Further densification of the films by a novel densification treatment improved the refractive index from ~1.8 to above 1.9 (Figure 1).



Figure 1 – The ALD process window for thermal SiN ALD using HCDS and hydrazine

XPS analysis proved that the contamination level of chlorine was ~1% and oxygen in the range of 3-6%. The films were also N-rich in stoichiometry. Wet etch rate in diluted HF was as low as 0.3-0.5 nm/min and lower than PEALD SiN reference samples, indicating denser higher quality films.

Low temperature thermal ALD of titanium and tantalum nitride

In collaboration with University of California, San Diego and Applied Materials, RASIRC has also investigated thermal ALD of TaN and TiN using hydrazine as a reactive N-containing source. They have been able to show ultralow/low temperature growth for:
  • Down to 100 °C of TaN using tris(diethylamido)(tert-butylimido) tantalum (TBTDET). According to XPS nearly stoichiometric Ta3N5 films were deposited with below 10% O and 5% C incorporation (Figure 2).
  • Stoichiometric TiN films growth at 300 °C with tetrakis(dimethylamido)titanium (TDMAT). 
  • Uniform, highly conductive, nearly stoichiometric films of 0.44 nm RMS roughness were deposited using titanium tetrachloride (TiCl4) at temperatures ranging from 300-400ºC. Compared to NH3 grown films, N2H4 grown films showed lower resistivities with fewer impurities.


Figure 2 - TaNx deposition from TBTDET + N2H4. a) XPS of 100 cycles of TBTDET and N2H4 at 100ºC, 150ºC, and 300ºC. b) The Ta 4d XPS peaks after 6 pulses of TBTDET and after 15 TaNx cycles. The initial 6 pulses confirmed interfacial Si-O-Ta bond formation, while after 15 cycles an ~2eV shift is seen consistent with formation of Ta-N bonds. (c) 15 minutes of atomic H were enough to remove the carbon that was accumulating on the surface during deposition. (d) Ta 4p3/2 / N 1s region showing the N 1s component shifting and narrowing as a function of temperature.

Compared to NH3 grown films, XPS confirmed N2H4 grown films contained fewer O, C, and Cl impurities consistent with lower resistivities being observed with N2H4. The data is consistent with N2H4 serving as reducing agent and a good proton donor to Ta and Ti ligands.

BRUTE® Hydrazine Technology

BRUTE® Hydrazine provides a stable, reliable flow of anhydrous hydrazine gas from a liquid source in a sealed vaporizer. The liquid source combines hydrazine and a proprietary solvent for stability. Hydrazine gas is swept to process via the pressure gradient or by an optional carrier gas. The RASIRC BRUTE® Hydrazine Technology includes features the following (Figure 3):
  • New formulation, delivery method for ultra-dry hydrazine vapor
  • Liquid source: Anhydrous hydrazine + Proprietary solvent 
  • High purity hydrazine vapor: <800ppb water contamination 
  • Vapor pressure: 12-14 torr at R.T. 
  • Higher reactivity than NH3
Figure 3 - Novel delivery system for ultra-dry hydrazine vapor (left) and Vapor Pressure of BRUTE Hydrazine (right)

Meet RASIRC!

RASIRC are frequent active participants at ALD conferences and workshops. The next opportunity to meet them face to face is at ALD 2018 in Incheon South Korea. The company is exhibiting, presenting and has a poster session. In addition, Chief Technology Officer Dan Alvarez will be moderating a session on ALD Fundamentals. RASIRC CEO Jeff Spiegelman says, “We look forward to continuing our participation and support of the ALD community.”

















Thursday, July 26, 2018

Picosun’s ALD solutions make quality watches tick

ESPOO, Finland, 26th July, 2018 – Picosun Group, a leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating solutions, solidifies its position in the watchmaking market with repeat production system sales to prominent, high-class timepiece manufacturers.
 
Coating of watch parts is a prime example of ALD’s novel, innovative applications outside of the traditional wafer-based semiconductor manufacturing. It shows perfectly the flexibility and adaptability of the technology, and how several surface functionalities can be obtained with a single ALD coating. ALD films can be used to give the coated object a lustrous color which, due to the films’ excellent uniformity and conformality, is even all around the object and doesn’t vary between objects or batches. Also, the nanometer-scale tunability of the film thickness and the wide range of ALD materials create almost endless spectrum of colors to choose from. Alternatively, coatings that do not alter the object’s original color can be produced. Another key aspect is the protective function of the ALD film. As the ALD films are intrinsically pinhole-, crack- and defect-free, they form a hermetic encapsulation around the coated object. This prevents tarnishing and corrosion. As both color-tuning and surface protection can be attained with the same ALD film, material and process time savings are obtained. As a gas-phase method where relatively small amounts of process chemicals are needed and the process end products can be efficiently eliminated and neutralized, ALD is also an environmentally friendly technique.

Obviously, watchmakers are not the only ones who have adopted ALD as a key part of their manufacturing process. Other three-dimensional items such as machinery parts, metallic surgical implants and other metal items, and collector coins are routinely coated using Picosun’s production ALD solutions especially designed and optimized for these applications. Jewelry manufacturers also use ALD to produce anti-tarnish surface finish to their products.

When large amounts of objects need to be coated economically, batch size is what counts. PICOSUN™ P-300B and PICOSUN™ P-1000 production ALD systems are developed for fast, cost-efficient, high volume processing of various 3D items and they have already proven their capability and reliability at several industrial production sites around the globe for years.

“Picosun’s goal is to provide agile, comprehensive, and production-proven ALD technology throughout the global industrial field. ALD solutions for non-wafer 3D objects such as watch parts are Picosun’s specialty, an area where we have worked in close collaboration with our customers already for a decade. This has gained us the leading know-how and experience in this particular application area, making Picosun the go-to partner for all manufacturers seeking for a revolutionary way to improve their products to the cutting-edge of their respective markets,” says Mr. Juhana Kostamo, Managing Director of Picosun Group.

Thursday, July 19, 2018

SVC TechCon 2019 Long Beach California has a new session for Atomic Layer Processes

The SVC has added a session entitled Emerging Technologies and Plasma Processes Focused on Atomic Layer Processes to its 2019 TechCon in Long Beach, California (LINK).

Joint Session of Emerging Technologies and Plasma Processes focused on Atomic Layer Processes (ALP) 

Over the last few years, atomic layer processes (ALPs), including atomic layer deposition (ALD),  etch (ALEt), and chemical modification, have increased in importance, enabling many new products and applications. With precise control and versatility, ALPs have become indispensable nanoscale manufacturing processes and the self-controlling aspects of these processes are finding microelectronics applications in high insulation thin-films, thin film transistors, and nanostructuring. ALP processes are becoming enabling technologies for precise coating and producing nanostructures; special properties of such a billionth of a meter in size structure hold immense technological application potential. However, ALPs are not limited for coating of inorganic thin-films. Recent advances in low temperature processing makes this methods also attractive for the coating of biomaterials. 
 
 
With this joint session of Emerging Technologies and Plasma Processes we are in a unique position to bundle expertise and synergies for technological breakthroughs, new trends, and innovations. We are soliciting contributions to this session in the following areas: ALP fundamentals, innovative application of established ALD technologies, or creative new developments in ALP technologies. Advanced ALP technologies which successfully cross over from early‐stage feasibility studies into commercially viable industry solutions are also of interest.

Deadline for Abstracts: October 5, 2018

Topics of this joint session between the SVC’s Plasma Processing and Emerging Technologies TACs will include:
  • Fundamental aspects for atomic layer processes (ALPs)
  • Atomic layer processes for diverse applications
  • Plasma-enhanced processes
  • Innovations in methods and progress in upscaling of atomic-/molecular-layer processes (ALD/ ALEt / MLD) towards high-volume industrial applications
  • Novel concepts and technologies for ALP process control, monitoring and thin-film characterizationModelling of atomic layer processes
  • Combined coatings of PVD and ALP
  • Advanced ALP thin-film processing, challenges and applications
  • Creative new business concepts or market perspectives that accelerate transfer of ALP technologies from lab-scale to commercial viability
 
We welcome contributed talks and posters for these areas, and always consider new and innovative topics that advance the use of thin film processing in atomic layer processing.

TAC Chairs:
 
Manuela Junghähnel, Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma
Technology FEP, Germany, manuela.junghaehnel@fep.fraunhofer.de

Lenka Zajickova, Central European Institute of Technology, Masaryk University, Czech Republic,
lenkaz@physics.muni.cz

SVC TechCon Background
 
The SVC TechCon will be in sunny Long Beach, California for 2019! SVC has a new venue and our Symposium topic will encompass a broad range of commercially relevant issues and technologies. SVC offers an industryleading technical exhibition, abundant networking opportunities, with an extensive educational program and in-depth technological expertise. SVC provides you with a great opportunity to present your latest research results, coating processes and equipment applications in the field. We invite you to share your latest R&D and application successes with the SVC community. 

The TechCon offers a broad range of presentation options – technical talks or posters, vendor innovation presentations – which can accommodate the full spectrum of academic research and industrial product innovations. This is complemented by our publication options of conference proceedings or a peer-reviewed publication. The SVC Student Sponsorship Program provides financial support for a limited number of qualified applicants to encourage student participation. 


Tuesday, July 17, 2018

Picosun - The ALD Company

While waiting for the ALD2018 in Korea starting you should have a look at the new Picosun promotional video. You can see a number of cool ALD reactors passing by in the background.


Wednesday, July 11, 2018

ASM launch ALD Pulsar and PEALD Emerald on XP8 multi-chamber platform

New System Integrates Core Pulsar® and EmerALD® Capabilities with Higher Productivity, Enabled by Multi-Chamber 300mm XP8 Platform

SAN FRANCISCO, California - ASM International N.V. (Euronext Amsterdam: ASM) today announced the Synergis®atomic layer deposition (ALD) tool for advanced-node logic and memory high-volume production applications. The latest addition to ASM's industry-leading line of ALD tools, Synergis leverages ASM's core ALD hardware and process technology, optimized over more than a decade in volume manufacturing, to address a wide range of thermal ALD applications.


Demand for ALD solutions is growing, as it enables the use of new materials and designs for advanced chip manufacturing. The new Synergis system is designed to address a number of key ALD equipment challenges, by providing superior thermal control of the reactor environment, delivery of low-vapor-pressure precursors, purge efficiency and reduced preventive-maintenance cycles. As a result, Synergis delivers excellent conformality and film uniformity with high throughput and low per-wafer cost.

HERALD SUMMIT 2018 Extended Deadline abstract submission for poster presentation

Extended Deadline for abstract submission for poster presentation - 27th July 2018.

Saturday, July 7, 2018

ALD/CVD Precursors Market Reaches $1.3B by 2023

SAN DIEGO, July 6, 2018 /PRNewswire-iReach/ -- TECHCET—the advisory services firm providing electronic materials information— announced that strong growth in IC fabrication demand for atomic-layer deposition (ALD), chemical-vapor deposition (CVD), and spin-on dielectric (SOD) precursors should result in a combined global market size of US$1.3 billion by the year 2023. Specifically, metal precursors are expected to see a compound annual growth rate (CAGR) of 6.2 percent through 2023 starting from $460 million in revenues for this year, as detailed in the latest TECHCET Critical Materials Report (CMR). The market for dielectric materials is forecasted to be $465 million this year with CAGR of 8.2 percent through 2023, as detailed in the latest CMR. 


"Plasma enhanced CVD and ALD processes drive increasing demand for silicon precursors, used in depositing the 32-72 layers of 3D-NAND chips and in self-aligned multiple patterning for advanced logic and memory chips," explained Dr. Jonas Sundqvist, TECHCET senior analyst and author of the report. "We see a need for more advanced ALD/CVD precursor production in China, to support more leading-edge logic and memory fabs ramping production there."

Cobalt (Co) metal is being used to encapsulate copper on-chip multi-level interconnects in the most advanced logic fabs for both foundries and IDMs. Intel is using full cobalt interconnects to replace some of the copper levels in it's newest logic chips. The conservative demand forecast for cobalt metal in the form of ALD/CVD precursors for logic IC fabs is $25 million in 2018, with considerable growth to $70 million by 2023.

Due to the competitive demand for cobalt needed for the lithium batteries used in EVs, and due to conflict issues in the supply-chain, cobalt metal pricing is volatile and reliable forecasting is correspondingly difficult. To anticipate supply:demand imbalances, TECHCET tracks ALD and CVD precursor demands in competing high volume manufacturing (HVM) industries such as flat-panel display, photovoltaics, MEMS, and LED.

Suppliers covered in this report include: Adeka, Air Liquide, Azmax part of Azuma group, BASF, DNF "Dream New Future", Dow Corning, Digital Specialty Chemicals (DSC), DowDuPont, Entegris, Epivalence, FujiFilm, Gelest, H.C. Starck, Kojundo Chemical Laboratory, Merck's EMD Performance Materials, Nanmat Technology, Norquay Technology, Nova-Kem, Nanogen Solutions, Pegasus Chemicals, Praxair, Soulbrain, STREM, TCI Chemicals, Tri Chemical Laboratories, Umicore, UP Chemical, Versum Materials.

Purchase Reports Here: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact Diane Scott at info@cmcfabs.org +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.

Media Contact: Lita Shon-Roy, TECHCET CA LLC, 1-480-382-8336, info@techcet.com

News distributed by PR Newswire iReach: https://ireach.prnewswire.com