Showing posts with label ALE - Atomic Layer Etching. Show all posts
Showing posts with label ALE - Atomic Layer Etching. Show all posts

Saturday, September 9, 2023

Unlock the Future of Materials and Products: Join the MERCK ALD and ALE Innovation Webinar!

Join us for an exciting event on October 18, 2023: "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)." Presented by Sergei Ivanov, Senior R&D Manager at Merck KGaA, and Martin McBriarty, Senior Scientist, this webinar promises groundbreaking insights into the world of materials and product innovation.

Event Details:

Date: October 18, 2023

Time: 4:00 pm - 5:00 pm CET

Host: Laith Altimime, President of SEMI Europe

Agenda:

- 4:00 pm CET - Welcome remarks by Laith Altimime, President, SEMI Europe.

- 4:05 pm CET - "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)" by Sergei Ivanov & Martin McBriarty.

- 4:45 pm CET - Q&A session moderated by Laith Altimime, followed by conclusions from all speakers.

About the Speakers:

Sergei Ivanov: Sergei is the Senior R&D Manager in the Organometallics division of Thin Films Business. He leads a research program focused on developing novel precursors for the deposition of metal, metal nitride, and metal oxide films. Sergei holds a PhD in Inorganic Chemistry from Kurnakov Institute of Russian Academy of Sciences and a B.S. in Chemical Engineering from Mendeleev University of Chemical Technology.

Martin McBriarty: Martin is a Senior Scientist leading the development of atomic layer etch and other vapor-phase etch methods. He earned his B.S. in Materials Science & Engineering at the University of Florida and his Ph.D. in the same field at Northwestern University. Martin joined Intermolecular in 2018 after completing postdoctoral research at Pacific Northwest National Laboratory.



Wednesday, August 30, 2023

Announcement Symposium G01 on “ALD & ALE Applications, #19” at the 244th ECS Meeting in Gothenburg, Sweden, Oct. 8-12, 2023

Announcement Symposium G01 on “ALD & ALE Applications, #19

at the 244th ECS Meeting in Gothenburg, Sweden, Oct. 8-12, 2023

See for detailed information about the 48 symposia, late manuscript submission requirements, and financial assistance: https://www.electrochem.org/244/.


Early (pre-)registration deadline is September 11, 2023.



In the ONLINE PROGRAM you can find symposium G01 on “ALD & ALE Applications, #19” which runs from Monday through Thursday Oct. 9-12 with a total of 77 presentations, incl. 1 keynote and 17 invited speakers. 


Sponsors of Symposium G01 on “ALD & ALE Applications, #19”





Monday, August 28, 2023

The Future of Nanoimprint Lithography: Exploring Possibilities and Challenges for High-Volume Production

Nanoimprint lithography (NIL) has emerged as a promising technique for the replication of intricate nano-scale features, offering higher resolution and uniformity compared to traditional photolithography methods. As semiconductor technology advances towards smaller and more complex structures, NIL holds the potential to revolutionize high-volume production processes. In this blog post, we'll delve into the current status of nanoimprint lithography and the possibilities it presents for future high-volume productions, as well as the main issues and concerns that need to be addressed.

NIL utilizes a process where a patterned mask is brought into contact with a resist-coated substrate. The resist fills the relief patterns in the mask through capillary action, creating precise nano-scale features. With a focus on simplicity and cost-effectiveness, NIL doesn't require the complex optics found in traditional photolithography, making it an attractive option for semiconductor memory applications.

Early work on combining NIL and Atomic Layer Etching by AlixLabs Founders

AlixLabs (www.alixlabs.com)  founders and Lund Nano Lab (Lund University, Sweden) collaborated 2018 to exploit Atomic Layer Etching (ALE) for improved NIL quality and resolution. ALE involved Cl2 monoatomic layer adsorption on silicon, followed by controlled Cl2-modified silicon layer removal using argon bombardment. This precision process allowed diverse nanopatterns to be etched onto silicon wafers with electron beam lithography. The treated wafers served as robust nanoimprint stamps in a thermal process, transferring features as small as 30 nm into a poly(methyl methacrylate) layer. ALE's potential for ultrahigh-resolution nanoimprint stamp fabrication advances nanofabrication techniques significantly.

Most Recent Achievements:

Recent study by TEL and Canon have demonstrated NIL's resolution capabilities of better than 10 nm, positioning the technology as a candidate for printing multiple generations of critical memory levels using a single mask. The potential to eliminate material waste by applying resist only where necessary adds to its appeal. Moreover, the simplicity and compactness of NIL equipment allow for clustered setups, enhancing productivity.

NIL Addressing Challenges in DRAM Scaling:

Dynamic Random Access Memory (DRAM) memory faces the challenge of continued scaling, with roadmap targets aiming at half pitches of 14 nm and beyond. The complexities of achieving tighter overlays, greater precision in critical dimensions, and edge placement errors demand innovative solutions. In DRAM fabrication, overlay requirements are even more stringent than in NAND Flash, with an error budget of 15-20% of the minimum half pitch.

Edge Placement Error (EPE):

EPE, the difference between intended and printed features, poses a significant challenge in modern semiconductor manufacturing. The intricacies of multiple patterning schemes and intricate device layouts contribute to EPE's complexity. Ensuring accurate placement of features is critical for maintaining device yield and performance.

The Quasi-Atomic Layer Etch (Quasi-ALE) process

The process is a specialized etching technique employed in advanced semiconductor manufacturing, particularly in processes like Nanoimprint Lithography (NIL). Quasi-ALE combines elements of Atomic Layer Etching (ALE) and conventional etching methods to achieve precise and controlled material removal. In the context of Nanoimprint Lithography, Quasi-ALE is used to etch materials with exceptional precision, targeting nanoscale features while minimizing damage to the surrounding areas. It involves a cyclic process where alternating etching and passivation steps are applied to the substrate. Each cycle removes a controlled layer of material, ensuring highly uniform etching and minimal lateral etch. One can discribe Quasi-ALE as a more productive way of performing ALE.

The key steps of the Quasi-ALE process typically involve:

1. Etch Step: During this step, a reactive gas is introduced into the etch chamber, which chemically reacts with the material to be removed. This reaction results in the selective removal of the material layer.

2. Passivation Step: In this step, a passivating species is introduced, forming a protective layer on the substrate surface. This layer prevents further etching and preserves the material beneath.

3. Purge and Repeat: The chamber is purged to remove any excess gases, and the process is repeated in a cyclical manner. Each cycle removes a controlled atomic layer of material.

Quasi-ALE is particularly advantageous for applications requiring high precision and control, such as in Nanoimprint Lithography, where maintaining accurate pattern dimensions and minimizing damage is critical. By combining the benefits of both ALE and traditional etching, Quasi-ALE enables advanced semiconductor manufacturing processes to achieve unprecedented levels of accuracy and uniformity.



Addressing EPE with Nanoimprint Lithography:

Researchers are actively exploring techniques to mitigate edge placement errors in nanoimprint lithography. This includes focusing on overlay accuracy, critical dimension uniformity (CDU), and local CDU. Compensatory methods such as dose control and reverse tone pattern transfer are being investigated to improve CDU and minimize errors.

The Role of Dose Control:

Varying the exposure dose offers a means of achieving small shifts in critical dimensions. Initial studies suggest that dose variations could lead to CD shifts of one to 2 nm. This strategy holds promise for enhancing CDU in the imprint process.

Reverse Tone Pattern Transfer:

Reverse tone processes, involving spin-on hard mask (SOHM) application and etch-back, offer an alternative approach to pattern transfer. While this method provides advantages such as reduced resist erosion and improved wall angles, trade-offs between CDU and line width roughness (LWR) need to be addressed.

Looking Ahead: The Possibilities and Challenges:

While NIL exhibits impressive potential, there are key challenges to overcome before it can be effectively integrated into high-volume semiconductor manufacturing. Ensuring precise overlay accuracy, managing complex CDU requirements, and effectively addressing edge placement errors remain pivotal. As the industry strives to achieve the roadmap's aggressive scaling targets, the evolution of nanoimprint lithography will undoubtedly play a crucial role.

Nanoimprint lithography is poised to reshape the semiconductor manufacturing landscape, offering higher resolution and cost-efficiency compared to traditional methods. With ongoing research and development, addressing challenges such as overlay accuracy, CDU, and EPE, the path to successful high-volume production through NIL seems promising. As technology continues to advance, the journey towards perfecting nanoimprint lithography is an exciting one, holding the potential to shape the future of chip fabrication.

Tokyo Electron (TEL): 

TEL specializes in Nanoimprint Lithography (NIL) technology, offering precision equipment, advanced etching solutions, and expertise in process control. They excel in alignment, overlay correction, CDU management, and etching technology.

TEL has previously demonstrated that for sub 7  nm CMOS technology, ALE and ALD integration improves SAC and patterning processes, achieving precise CD shrinking and enhanced selectivity.

Canon: 

Canon contributes to Nanoimprint Lithography (NIL) advancement by leveraging TEL's strengths in alignment, overlay correction, CDU management, and advanced etching solutions. They integrate these capabilities with the Reverse Tone Pattern Transfer, ensuring precise pattern replication and fidelity. Canon's focus on innovation drives high-resolution, cost-effective solutions for semiconductor manufacturing.

Canon has introduced a groundbreaking solution in the field of semiconductor technology with the development of the world's first mass-production equipment called the "FPA-1200NZ2C." This innovative tool utilizes nanoimprint lithography, a cutting-edge technique that involves imprinting nanometer-scale mask patterns onto substrates. By adopting this novel approach, Canon aims to overcome the limitations of conventional miniaturization methods. The FPA-1200NZ2C is already in use by Toshiba Memory, a prominent semiconductor memory manufacturer. This advancement marks a significant step forward in semiconductor manufacturing, enabling the creation of more intricate and advanced circuit patterns.

Sources:

High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching — Lund University

Nanoimprint post processing techniques to address edge placement error (spiedigitallibrary.org)

Nanoimprint Lithography | Canon Global

FPD Lithography Equipment | Canon Global

Benefits of atomic-level processing by quasi-ALE and ALD technique - IOPscience

www.alixlabs.com

Acknowledgement :

Thanks for sharing the SPIE article on LinkedIn and giving insights Frederick Chen!


Tuesday, August 22, 2023

Immersive Collaboration: Imitera and AlixLabs Join Forces to Transform Semiconductor Manufacturing

In a groundbreaking partnership, Extended Reality (XR) technology leader Imitera and semiconductor innovator AlixLabs are set to reshape the way industries collaborate and innovate. The collaboration aims to leverage the power of XR to enhance visualization and communication within AlixLabs' semiconductor manufacturing process.

Revolutionizing Collaboration with XR

Imitera's cutting-edge XR platform is poised to unlock a new era of collaboration for AlixLabs. By creating a virtual environment where teams can interact with atomic and molecular structures, XR technology enables live testing and seamless sharing of information. This revolutionary approach empowers teams to make decisions collaboratively, irrespective of geographical barriers.


From Virtual Atoms to Real Insights

Dr. Jonas Sundqvist, CEO of AlixLabs, expressed his amazement at the insights gained through the XR atomic level world. He likened the experience to being immersed in an IKEA ball bath as he and his team explored atomic-level structures virtually. The technology not only sparks imagination but also provides real-world benefits for dispersed teams, like AlixLabs', to engage as if they were physically present.

XR Paving the Way for Industry Innovation

The collaboration between Imitera and AlixLabs exemplifies the transformative potential of XR technology. By addressing the demand for immersive, cost-effective, and user-friendly experiences, Imitera's XR solutions have the power to drive innovation across various industries. AlixLabs' groundbreaking semiconductor manufacturing technique coupled with Imitera's XR platform showcases a new way forward for industries to connect, visualize, and innovate.

Looking Ahead

The partnership between Imitera and AlixLabs isn't just about merging technologies; it's about merging minds. With XR technology as the bridge, teams can transcend traditional barriers and engage in a shared space that fosters creativity and informed decision-making. This collaboration marks a significant step toward revolutionizing industries and shaping the future of collaboration.

In a world where physical distances are no longer limitations, the synergy between XR and semiconductor innovation is a testament to human ingenuity. As these two worlds converge, the possibilities for cross-industry collaboration and discovery are boundless.

Imitera and AlixLabs Collaborate Using Cutting-Edge XR Solution to Revolutionize the Visualization of Atomic Level Semiconductor Manufacturing - AlixLabs AB (cision.com)

Monday, June 12, 2023

Merck Showcases Expertise in Thin Film Deposition and Atomic Layer Etching at AVS ALD 2023 Conference

Leading scientists and engineers from MERCK present papers on advanced materials and semiconductor processing techniques at AVS ALD/ALE 2023.

Merck, a global science and technology company, is set to participate as a sponsor in the 23rd International Conference on Atomic Layer (ALD/ALE) 2023 organized by the Association for Science and Technology of Materials, Interfaces & Processing (AVS). The conference focuses on the science and technology of atomic layer-controlled deposition of thin films and related topics such as atomic layer etching.

Merck's leading engineers and experts will present their research papers at the conference, covering a wide range of cutting-edge topics. Thong Ngo, a Senior Process Engineer, will discuss the synthesis of 2D MoSe2 by atomic layer deposition on a wafer scale. Randall Higuchi, a Process Engineer, will present an evaluation of Zr and Hf precursors with higher thermal stability for atomic layer deposition of ZrO2 and HfO2 films.

Ravi Kanjolia, a Technology Fellow at Merck, will explore the reverse templating effects of low-resistivity Ru Ald on sputtered Ru, while Haripin Chandra, a Senior R&D Manager, will discuss the properties of VHF PEALD silicon nitride film deposited by precursors with different amino ligands. Martin McBriarty, a Materials Scientist, will present on thermal ALE reactants for semiconductor processing, and Ravi Kanjolia will also discuss crystalline gallium nitride deposition on SiO2/Si by RF-biased atomic layer annealing.


Furthermore, Haripin Chandra will participate in the Emerging Materials Session, focusing on EUV lithography materials. Merck's experts will offer valuable insights and share their expertise with the conference attendees, contributing to the advancement of thin film deposition and atomic layer-controlled processes.


Merck's presence at AVS ALD 2023 demonstrates the company's commitment to advancing technology and providing innovative materials solutions. Attendees will have the opportunity to connect with Merck's experts on-site for personalized advice and support. The conference, being held from July 23 to July 26, 2023, in Bellevue, Washington, promises to facilitate knowledge exchange and foster collaborations among scientists and industry professionals in the field of atomic layer-controlled deposition.

Source: The 23rd International Conference on Atomic Layer (ALD/ALE) 2023 | Merck (merckgroup.com)

Wednesday, May 3, 2023

AVS ALD2023 & ALE2023 Late News Abstracts Due May 5 - May The 4th Be With You!

 

 

 

Technical Program

Late News Abstracts Due May 5

 

 

Hotel Deadline:

June 29

 

 

Early Registration:

June 1

 

 

The AVS 23rd International Conference on Atomic Layer Deposition (ALD 2023) featuring the 10th International Atomic Layer Etching Workshop (ALE 2023) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists.

 

The conference will take place Sunday, July 23-Wednesday, July 26, 2023, at the Hyatt Regency Bellevue in Bellevue, Washington (East Seattle). As in past conferences, the meeting will be preceded (Sunday, July 23) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, July 24-26) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.

Late News Abstracts

Due May 5, 2023

Presenters are limited to one oral and one poster presentation. One submission must be to an oral session and one to a poster session. It must be two different abstracts, not the same abstract submitted as both an oral and a poster.

 

 

 

Key Deadlines:

Late Abstract Deadline:

May 5, 2023

Early Registration Deadline: June 1, 2023

Hotel Reservation Deadline: June 29, 2023

Manuscript Deadline: November 1, 2023

 

 

 

ALD Plenary Speaker

Markku Leskelä

(University of Helsinki, Finland)

 

ALE Plenary Speaker

Tristan Tronic

(Intel, USA)

 

 

ALD Program Chairs

 

Program Chair:

Seán Barry

(Carleton University, Canada)

 

Program Co-Chair:

Scott Clendenning

(Intel, USA)

ALE Program Chairs

 

Program Chair:

Jane Chang

(University of California,

Los Angeles, USA)

 

Program Co-Chair:

Steve George

(University of Colorado at Boulder, USA)

 

Program Co-Chair:

Thorsten Lill

(Lam Research, USA)

Monday, April 24, 2023

Oxford Instruments to supply KAUST with hardware upgrades and ALE systems

Significant partnership with KAUST: hardware upgrade and support its cutting-edge ALD research with the addition of ALE capability

Oxford Instruments Plasma Technology has announced a significant agreement with the Saudi Arabia-based King Abdullah University of Science and Technology (KAUST) Core Labs, a system of multidisciplinary and interconnected research laboratories. Under the agreement, KAUST’s Core Labs and Research Infrastructure benefits from the addition of two Oxford Instruments PlasmaPro®100 Cobra® atomic layer etch (ALE) systems to add to its existing Oxford Instruments FlexAL® atomic layer deposition (ALD) capability. 

With both ALE and ALD modules, KAUST is now even better positioned to develop its world-leading technology research and bridge the gap between academia and industry, by enhancing projects like their cutting edge research on Oxford Instruments ALD equipment GaN HEMT Origin of Interfacial Charges and GaN HEMT Highly Suppressed Interface Traps.









Saturday, February 18, 2023

ALD Stories Ep.20 - The story behind AlixLabs and ALE Pitch Splitting

Jonas Sundqvist joined the ALD Stories podcast again to talk about his company, AlixLabs! Check out their Atomic Layer Etch Pitch Splitting tech and how it challenges traditional patterning techniques.


Spotify - https://lnkd.in/djrMbZ-v
Apple - https://lnkd.in/d93sW3JK



Monday, September 26, 2022

AlixLabs proudly announce its Advisory Board

AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture in high-volume semiconductor wafer fabrication and can open up a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with more manageable wafer fab equipment investments.

The company is pleased to announce an Advisory Board with long-term semiconductor industry and business experts and academic leadership. In a statement from Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, he said, "Our Advisory Board adds a new level of engagement with the semiconductor industry and leading research centers needed to transfer the APS technology into high volume manufacturing. Besides strategic business decisions, we must build an ecosystem around our disruptive patterning technology. With their support, we will be able to deeply engage the semiconductor ecosystem on all levels and in all supply sectors, from materials and equipment suppliers to the wafer fabs and recognized R&D labs and institutes in this amazing industry".



Lita Shon-Roy – President/CEO and Founder of TECHCET—has worked throughout the semiconductor supply chain, leading strategy, business development, marketing, and sales for chip designers, equipment OEMs, and material suppliers for over 30 years. Her experience spans from process development of SRAMs to business development of gases & precursors. She developed new business opportunities for companies such as RASIRC/Matheson Gases, Air Products & Chemicals, and IPEC/Speedfam, and managed marketing and sales in companies such as Air Products/Schumacher, Brooktree/Rockwell, and Hughes Aircraft. Ms. Shon-Roy is considered one of the leading experts in electronic materials market analysis and business development. She has authored and co-authored 100’s of articles, reports, and texts on semiconductor process materials markets, trends, and worldwide supply chain issues. She holds an Masters Business Administration (MBA) from California State University, Dominguez Hills, a Master of Science (MS) in Electrical Engineering with a specialty in Solid State Physics from the University of Southern California, and a Bachelor of Science (BS) in Chemical Engineering from UC San Diego.

Prof. dr. Fred Roozeboom is emeritus/guest professor in the Inorganic Membranes group at the University of Twente and consultant to the high-tech industry. From 2007 until Dec. 2021 he was a part-time, full professor at TU Eindhoven in the group Plasma & Materials Processing, and from 2009-2021 he was Senior Technical Advisor at TNO Holst Centre, Eindhoven, aiming at new applications in Atomic Layer Deposition and Etching, area-selective ALD, Li-ion micro-batteries, and EUV optical lifetime. From Sept. 2021 - Sept. 2022 he was a Research Fellow at LionVolt, a start-up, working on pilot line production of 3D thin-film Li-batteries on metal foil. Fred is co-/author of >200 publications (h-index 42), 5 book chapters, 39 granted US patents, co-/editor of 51 conference proceedings on semiconductor & microsystems processing, and executive
editor of open access journal Atomic Layer Deposition. He was or is active in conference committees for the Materials Research Society, Electrochemical Society, American Vacuum Society, IEEE, DPS-Japan, and SEMI Europe Semiconductor Technology Programs Committee.

Dr Jacques Kools has over 35 years of experience in nanotechnology R&D, focusing on capital equipment and process for vacuum etch and deposition processes. He started his career at Philips Research, Eindhoven, The Netherlands, working on reactive ion beam and laser etch processes and magnetic materials. He worked in various roles in the semiconductor equipment industry in Silicon Valley, most recently as Vice President of Technology and Director of Strategic Marketing at Veeco Instruments (NASDAQ: VECO). His current position is CEO and founder of Encapsulix, a supplier of Atomic Layer Deposition ( ALD) equipment and process technology. Dr. Kools holds a Ph.D. from the Eindhoven University of Technology. He has published extensively with more than 100 refereed papers, including more than 10 invited reviews and more than 20 US patents (h index of 30 and i10 index of 60).

Thursday, September 22, 2022

Oxford Instruments and ITRI report GaN HEMT device performance by ALD and ALE

GaN HEMT device performance - Oxford Instruments and ITRI announce breakthrough development in GaN HEMT device performance


Oxford Instruments alongside its research partner Industrial Technology Research Institute (ITRI) can today share new and exciting technology developments that will significantly benefit key hyper-growth electric vehicle, datacentre and 5G markets. The technology developments allow critical transistor components to operate at higher voltages which increases performance and reliability, while also achieving a safer and more energy efficient (normally off ‘E-mode’) operation compared to existing devices. The new GaN (gallium nitride) HEMT device architecture is defined by a recessed and insulated gate junction into the AlGaN layer, and this device is referred to as GaN MISHEMT.

In September 2021, Oxford Instruments Plasma Technology and ITRI announced a cooperative research program for next-gen compound semiconductors. This latest breakthrough is an example of that collaboration delivering on its goal of accelerating technology to benefit the partners, their regions and wider global markets. Since that announcement, Oxford Instruments has also unveiled an exclusive supply deal with Laytec, who’s endpoint technology is used to control the GaN MISHEMT recess gate depth. Recess depth accuracy and repeatability is critical to tune the device performance characteristics, and LayTec’s technology is designed specifically for this application achieves target depth accuracy of ±0.5nm. ITRI provides pilot production and value-added services, including process verification and product development. ITRI’s integration services, especially this GaN development project, have proved incredibly beneficial, which quickly proved out the higher performance of GaN MISHEMT and provided a lower risk and faster route to market for the device.

Klaas Wisniewski, Oxford Instruments Strategic Business Development Director commented: “We have excellent strategic partners and customers like Enkris, ITRI, LayTec and ROHM, and our GaN solutions are positioned strongly to serve, grow and gain from big opportunity markets. Our leading Atomic Layer Etch (ALE) and Atomic Layer Deposition (ALD) technology is raising material engineering performance to achieve new levels of surface quality and defect reduction, to meet the growing demand for higher performing devices.” Klaas also added: “With our technology partner ITRI, high volume GaN manufacturing customers and our focussed investment into high value and proprietary process solutions, we expect the GaN device market to be a key driver for our business and technology roadmap.”

Klaas Wisniewski presented a talk entitled “Enhancing GaN HEMT Performance for Power Electronics Applications with Atomic Scale Processing Production Solutions” at Semicon Taiwan Sept 14-16, 2022, TaiNEX 1, Taipei, Taiwan. Please get in touch with us to discuss our latest data and opportunities for partnership and collaboration.

Thursday, September 15, 2022

AlixLabs AB today announced that the company has been granted a second patent in the USA relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

MON, SEP 12, 2022 21:19 CET. AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

The company is now pleased to announce having been granted a second patent in the USA. USA is one of the most important markets for leading-edge semiconductor manufacturing, a huge industrial and consumer market for the most advanced electronic products and hence crucial for AlixLabs to protect its innovative APS process by IP.

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted the first US and Taiwan patent, we are now delighted to announce that our second US patent has also been granted and that we have more patent applications in the pipeline".


AlixLabs: Amin Karimi, Reza Jafari Jam, Yoana Ilarionova, Jonas Sundqvist and Dmitry Suyatin.

Dr. Amin Karimi, R&D and Operation Manager, added, "I am delighted to add that ALixLabs has been finalized a 1 Million SEK Vinnova funded project to verify the APS technology in the fabrication and electrical characterization of nanowire test transistor architectures this summer". Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, concluded that "The strategy as we advance is to successfully transfer the APS technology to 300 mm wafer processing and making it readily available for process demonstration for the leading IDMs & Foundries. We don't only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gasses during chip manufacturing considerably. This is not by itself a Green Fab Technology. However, it takes one small step in the right direction."

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021 and now the second patnet (US11424130) on August 23, 2022. The patents covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com.

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Thursday, September 1, 2022

Supply Tightening Expected for Specialty Electronic Gases

Demand to outpace supply for NF3 and WF6 unless alternatives come into play

San Diego, CA, August 31, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the supply of Specialty Gases, nitrogen trifluoride (NF3) and tungsten hexafluoride (WF6) for electronics could tighten amongst high projected demand by 2025-2026. This forecasted steep trajectory will challenge supply-chains to keep pace. However, alternatives being developed could interrupt this trend. Both NF3 and WF6 are part of a larger US$5 billion specialty gas segment forecasted to grow 30% over the next 5 years, to total US$6.5 billion by 2026. As shown below, NF3 is expected to grow even more steeply, 72% over the forecast period (as highlighted in TECHCET’s 2022 Critical Materials Report™ on Electronic Gases).


Alternatives for these gases are currently in development which could cause a shift in growth trends. The increasing demand for NF3 in electronic manufacturing, including flat-panel displays, has triggered concern among atmospheric scientists over emissions of nitrogen trifluoride, a potent greenhouse gas. Particularly, NF3 gas has a high Global Warming Potential (GWP) compared to other gases. Consequently, the electronics industry is looking at and considering processes for on-site fluorine generation that can use F2, in place of NF3, for chamber cleaning.

...

To read the full article, click here: https://lnkd.in/g25Fa3f2

For more information on the electronic gases market outlook, check out our newest Gases Critical Materials Report™ here: https://lnkd.in/gb95EBC