Sunday, July 28, 2019

Strem offer hafnium metal alkylamide ALD precursors for emerging memory applications


Having been extensively studied over three decades for the replacement of silicon dioxide (SiO2) in conventional semiconductor fabrication, ALD Hafnium Oxide (HfO2) among other high-k dielectrics was finally adopted by Samsung in 2004 in high volume manufacturing at the 90 nm DRAM node as the high-k capacitor dielectric. The other DRAM companies followed, and later in 2007 Intel introduced ALD HfO2 at the 45 nm node as high-k gate dielectric. On account of its high dielectric constants (at least four times that of silicon dioxide) a thicker film of hafnium oxide can be used to achieve the same dielectric performance resulting from a thinner silicon dioxide layer without the associated high leakage current or even electron tunneling for ultra-thin dielectrics. High refractory oxides such as those of zirconium and hafnium also find uses in optical applications; as catalysts; and, because of their hardness and thermal stability, as protective coatings.


In recent years, hafnium oxide (as well as doped and oxygen-deficient hafnium oxide) has attracted additional interest as a possible candidate for resistive-switching memories (ReRAM) and CMOS-compatible ferroelectric field effect transistors and memory chips. A great example is Dresden based NaMLab and The Ferroelectric Memory Company (FMC) who are integrating HfO2 based ferroelectric transistor (FeFET) memory. The FeFET is a long-term contender for an ultra-fast, low-power, and non-volatile memory technology. In these devices, the information is stored as a polarization state of the gate dielectric and can be read non-destructively as a shift of the threshold voltage. The advantage of a FeFET memory compared to the Flash memory is its faster access times and much lower power consumption at high data rates.
Moreover, in the framework of a project together with GLOBALFOUNDRIES and Fraunhofer IPMS, a one-transistor (1T) FeFET eNVM was successfully implemented in a 28 nm gate-first super low power (28SLP) CMOS technology platform using only two additional structural masks. Since then, other companies and researchers have followed and the “ferroelectric HfO2 community” have met on an annual basis in Dresden at the NaMLab annual Novel high-k workshop for more than a decade now. The most recent trend is that HfO2 may enter a 2nd time in DRAM manufacturing, this time as a high-k gate oxide for the select transistor.
When grown using chemical vapor deposition (CVD) from β-diketonate precursors, alkoxide precursors, and chloride precursors, a relatively high (typically greater than 300 °C) deposition temperature is required, which results in rough films because of their high crystallinities. ALD based HfO2 films employing both chloride and iodide precursors arefeasible as low as 180 °C, but then typically exhibit high incorporation of chlorine and iodine impurities. As for the CVD processes mentioned above, the high temperatures needed to prevent this contamination result in the formation of highly crystalline films with apparent surface roughness. Etching of the growing oxide surface by the precursors themselves also causes additional surface roughening and non-conformality. The etching might also explain the difficulty in depositing a conformal coating on high-aspect-ratio structures using these halide precursors. 

To address these concerns, Professor Roy G. Gordon and members of his laboratory at Harvard, in the late 1990s and early 2000s, invented metal alkylamide precursors to create thin films of high-k dielectric materials (e.g., HfO2, ZrO2). They also filed patents for their innovation at the US Patent Office in 2000.

The metal alkylamide precursors (e.g., TEMAHf) produce less corrosive byproducts compared with halide precursors (e.g., HfCl4). The byproducts themselves neither etch the adsorbates nor cause additional surface roughness, which in turn affords good conformal coating on high aspect ratio structures. As a liquid precursor, they can be easily vaporized, unlike solid HfCl4, yielding significant growth-per-cycle (GPC) due to high transport efficiency. There is no chlorine contamination in the deposited HfO2 film, which leads to reduced interface charges, improved dielectric properties, and suppressed leakage current. Metal alkylamides also exhibit higher reactivity, which enables lower deposition temperature. In general terms, the hafnium alkylamide precursors are preferred for high aspect ratio applications like DRAM and 3D MIM Capacitors, while for planar logic and FinFET devices hafnium chloride is typically used.

Strem Chemicals, Inc., a leading fine chemicals supplier, headquartered in Newburyport, Massachusetts, USA, boasts a vast variety of metal alkylamide precursors for depositing Hf-based materials in different applications. The table below outlines three Hf-based metal alkylamide precursors from Strem’s catalog.

Please find here one of the well-cited publications on ALD of HfO2 from Prof. Gordon’s Harvard laboratory. This article from 2002 takes advantage of the above three Hf alkylamides and water in deep trench DRAM structures (from Infineon) to study the properties of the deposited oxide films by Hausman et al.

About Strem Chemicals: Strem is an employee-owned specialty chemicals supplier, manufacturing, and marketing high quality specialty chemicals for more than half-a-century. They provide custom synthesis, and FDA inspected current good manufacturing practice (cGMP) services to their clients from academia, industry and government R&D labs. What distinguishes Strem from other chemicals suppliers is their continued commitment to quality. This help Strem deliver precursors with, by and large, 99% metals purity including some metal precursors with even 99.9999% purity. Strem offers over 5,000 specialty products in the area of metals, inorganics, organometallics, and nanomaterials. Strem’s product range includes:

 
 ----------
Promotional blog written and researched by Abhishekkumar Thakur and Jonas Sundqvist, BALD Engineering AB