Saturday, February 26, 2022

Original manuscript of the UN Charter (1945) - "Stop the military operation in Ukraine. Bring the troops back to Russia."

"The Charter is clear," said UN Secretary-General António Guterres on Thursday. "The use of force by one country against another is wrong, against the UN Charter, and unacceptable. But it is not irreversible."


The Secretary-General repeated his appeal to President Putin:
"Stop the military operation in Ukraine. Bring the troops back to Russia."







ALD centre of excellence to drive leading edge process technology - ASM International and University of Helsinki have renewed and expanded their long-term research agreement

The new five-year agreement entails a significant expansion of the collaboration that already began in 2004 and now gets extended to the impressive length of 23 years. ASM will more than double the current funding provided to the University as part of this agreement. The accelerator laboratory of Department of Physics receives part of the funding. ASM also plans to expand the team at its subsidiary ASM Microchemistry Oy at the Kumpula campus.

ASM Microchemistry has been pioneering ALD (Atomic Layer Deposition) technology since its founding in 1987 and has been co-located since 2004 in the Chemicum building at the Kumpula campus. University of Helsinki, working on ALD since 1990, hosts HelsinkiALD that is one of the world's best known academic research groups in the field of ALD chemistry. The ALD CoE will focus on ALD and other atomic layer processes and thin film materials that are necessary for future microelectronics. ALD is an advanced technology for depositing thin film materials in highly controlled manner for integrated circuits and other applications. Semiconductors in all today’s mobile phones and computers contain materials made by ALD. One recognition of the importance of the ALD technology was the Millennium Technology Prize that was awarded in 2018 to Dr. Tuomo Suntola, the Finnish inventor of ALD.


In front ASM International CEO Benjamin Loh and vice rector Paula Eerola. Behind from left emeritus prof. Markku Leskelä, CTO and Corporate VP R&D Ivo Raaijmakers, VP of Technology & Corporate R&D Vamsi Paruchuri, prof. Mikko Ritala, Senior Director Corporate R&D Operations Kees van der Jeugd, dean Kai Nordlund and assistant prof. Matti Putkonen. (Image: Jani Närhi)

Benjamin Loh, CEO of ASM International, commented:

– The ALD Center of Excellence agreement significantly expands our nearly two-decade long and successful collaboration with University of Helsinki. We are very excited about this partnership aimed for breakthroughs around ALD that will enable future semiconductor technologies.

– Collaboration with ASM, which is exceptional in terms of both length and volume, is highly valuable for the University of Helsinki, stated vice-rector Paula Eerola.

Professor Mikko Ritala and associate professor Matti Putkonen who head the HelsinkiALD research group at the University added:

– We greatly appreciate ASM for the long-term commitment and collaboration. Our research area is clearly exceptionally fruitful and rewarding for academia-industry collaboration. The research questions we are addressing are fundamental by their nature, yet our results may find immediate use in the future semiconductor devices. Therefore division between basic and applied research is meaningless.

A critical element behind the successful collaboration is the mutual understanding and respect of each party’s interests, in particular intellectual property protection for ASM and open science for the university.

– When new innovations are made, these are first patented, after which we are free to publish all the results without any undue delay, said Ritala.

In 2020 ALD center Finland research infrastructure, formed by HelsinkiALD in chemistry department and accelerator and x-ray physics laboratories in physics department, was selected by Academy of Finland to the national research infrastructure roadmap. ALD center Finland serves as a national platform for research and education in atomic layer level processing techniques. The center also supports other fields of research requiring state-of-the-art techniques for thin film deposition, characterisation and surface chemistry, catalysis in particular.

ALD research has also a strong educational dimension.

– It is a pleasure seeing how wanted chemists graduating from us are in the industry and elsewhere. To make the training even more attractive, a unique Master´s programme in ALD has been launched. The students will be majoring from Inorganic materials chemistry study track of Master’s Programme in Materials Research, and their courses are directed so that they cover all important aspects of ALD, including precursors, thin film deposition and characterization as well as potential applications, explains Matti Putkonen, who was appointed in 2019 as an associate professor in atomic layer deposition and etching, seemingly the first one of its kind in the world.

– Our education and hands on training create an exceptionally good starting point for our graduates to become part of the rapidly increasing global ALD community.

Thursday, February 17, 2022

RASIRC Provide Record Low Resistivity Titanium Nitride Film Fabricated by Thermal ALD

Ultra-dry hydrazine enables growth at low temperature

San Diego, Calif – January 18, 2022 – An IITC paper authored by researchers from UCSD, Samsung, and RASIRC demonstrated record low resistivities for low temperature titanium nitride (TiN) films grown by thermal ALD process. Films yielded resistivities below 200 µohm-cm. The process used titanium tetrachloride (TiCl4) and ultra-dry anhydrous hydrazine along with surface treatments to further reduce surface impurities. The reduction of moisture was key. This reduction was achieved by a high vacuum ALD process combined with anhydrous hydrazine with moisture below 100ppb.

Low resistivity is an indicator of a high-quality film that works well as a barrier layer or conductor. TiN thin films are used as diffusion barriers for cobalt (Co) and tungsten (W) metal layers as well as gate metal in CMOS devices due to their high electrical conductivity and related low resistivity.

Historically, TiN films have been fabricated with ammonia and plasma. However, new three-dimensional designs with high aspect ratios (HAR) impose severe process limitations on plasma efficacy due to line-of-sight issues. Thermal ALD processes have a non-directional advantage over plasma if the process chemistry is reactive enough.

By replacing ammonia with hydrazine, reactivity is increased in the ALD process. This enables processing at lower temperatures needed for backend processes. Low temperature ALD is needed to avoid degradation of previously deposited structures. These breakthroughs make it possible for new 3D architectures like nanoribbons and nanotubes to move into high volume manufacturing.

“Once a low water background can be achieved in the tool, it is critical that the hydrazine precursor be as dry as possible to prevent oxygen incorporation in the film,” said RASIRC Founder and CEO Jeffrey Spiegelman. “Through proper tool design and ultra-dry BRUTE® Hydrazine, high quality titanium nitride films can be grown, as shown in the research.”

Details on the research were published in IEEE Xplore following the 2021 IEEE International Interconnect Technology Conference (IITC). For more information, contact RASIRC.


BRUTE Hydrazine

BRUTE Hydrazine enables uniform nitride deposition for titanium and early transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Brute Hydrazine has been formulated with a higher flash point for safer handling.

About RASIRC

RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as BRUTE Peroxide, Brute Hydrazine, the Peroxidizer®, and Rainmaker® Humidification Systems are being used to develop solutions for 5G, AI, IOT, and advanced automation.



What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of being first to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets. Contact RASIRC to help solve your complex problems.



P: 858-259-1220, email info@rasirc.om or visit http://www.rasirc.com



Thursday, February 10, 2022

ALD for Industry 2022, 29-30 March 2022 in Dresden

The 5th „ALD FOR INDUSTRY“ workshop will again show the bridge between basic science, industrialisation and commercialisation of this technology. According to a research study, „The global Atomic Layer Deposition Equipment (ALD) market is expected to reach USD 8.2 billion by 2026, growing at a CAGR of 28% from 2020 to 2026 layer, excellent adhesion of high aspect ratio surfaces and pinhole-free coatings.“


Interest in industrial solutions for Atomic Layer Deposition is increasing. This opens up new possibilities for innovative materials and designs in a wide range of applications. ALD is one of the best deposition methods for producing conformal and thin films. Furthermore, it is a key technology for the semiconductor industry, but not only for the semiconductor industry. Atomic layer deposition, is a thin film technology that enables new and highly innovative products for a wide range of applications.

In 2022 the EFDS will organize the 5th workshop „ALD FOR INDUSTRY“ in Dresden (Germany), at one of the focal points of European thin-film technologies in industrial applications and research. „ALD for industry provides the opportunity to get in touch with industrial and academic partners, to learn more about fundamentals of ALD technology and get informed about the latest research results on this topic.

The Workshop will focus on the currents markets for ALD and address the applications in Semiconductor industry, MEMS &Sensors, Battery technology, Medical, Display, Lightning and Photovoltaics.

EXHIBITION

You have the right products and services for our community? Come to the event and present your expertise.
At the exhibition you will have a table and space for one roll-up. During all breaks you will have the opportunity to get in discussion with the participants and speakers.

If you are interested, please contact info@efds.org with the comment „ALD for Industry“.

PROGRAM


Thank you to all speakers and the Program Committee. The Program for our Workshop 2022 is prepared. For more details please open the link above.

Program Committee 2022
Quentin Demarly, Air Liquide Advanced Materials, Paris, France
Dr. Christoph Hossbach, Picosun Oy and Picosun Europe GmbH, Dresden, Germany
Dr. Martin Knaut, IHM, TU Dresden, Germany
Prof. Mikko Ritala, University of Helsinki, Helsinki, Finland
Dr. Jonas Sundqvist, BALD Engineering AB, Wärmdö, Sweden
Dr. Claudia Wiemer, CNR IMM, Unit of Agrate Brianza, Italy

HOTEL RECOMMENDATION

114 EUR single room, including breakfast
Canceling free of charge until 7 days before the event
Code: ALD

Tuesday, February 8, 2022

Samsung Electronics Is Pushing Hard to Bring Monolithic 3D DRAM to HVM by 2025

Samsung Electronics has been enjoying its DRAM market leader position for about 30 years now. To retain the position further, it has intensified its R&D of monolithic 3D DRAMs to bring them to HVM by 2025.




DRAM’s performance boost based on scaling the cell size or pitch is approaching a physical limit for cramming more cells in a limited space. Additionally, the ultra-high aspect ratio capacitors may collapse leading to compromised device reliability. Therefore, switching from current 2D DRAMs to next-generation monolithic 3D DRAMs seems inevitable.

Samsung has reportedly intensified its R&D on stacking DRAM cells on top of each other in a monolithic fashion, unlike in the case of high-bandwidth memory (HBM), wherein multiple dies are stacked atop each other.

Besides High-k/Metal Gate transistor technology, Samsung is also considering adopting FinFET or gate-all-around (GAA) technology for the DRAM cell transistor to attain better electrostatic control of the charge flow within the channel with the gate electrode.

Micron Technology and SK Hynix are also reportedly developing monolithic 3D DRAMs. Micron recently filed a patent for a monolithic 3D DRAM that is different from that of Samsung. Micron’s approach is to change the shapes of the transistor and capacitor without laying down a cell. Major equipment manufacturers such as Applied Materials and Lam Research are also developing solutions for the monolithic 3D DRAMs.

By Abhishek Kumar Thakur & Jonas Sundqvist

Monday, February 7, 2022

Picosun and Shincron join forces for ALD optical coating development

ESPOO, Finland, 3rd of February 2022 – Picosun Group and Shincron Co., Ltd. have joined forces for development and commercialization of Atomic Layer Deposition (ALD) for optical coatings. ALD technology provides uniform and conformal coatings to the even most challenging structures and is therefore well suited for high-end optical applications.

The R&D teams from both companies have worked together to tailor existing ALD processes optimizing them for the use in optical applications. In addition to standard ALD optical films, ALD magnesium fluoride (MgF2) has been researched and is now available for commercial use for the first time. So far, ALD MgF2 processes have been only presented in academic research by universities and science institutes. MgF2 is a desired material in optical applications due to its low refractive index at visible light and high transmittance in FUV optical region. It can be used as a single layer or as part of an optical stack combining low and high refractive index materials. It has also other features, such as barrier properties and high chemical stability, which bring big advantages in some applications.



The collaboration agreement was first signed in 2019 including the appointment of Shincron as Picosun’s sales representative and ALD product distributor in Japan. The collaboration was extended with Joint Development Agreement (JDA) in 2020 with the aim to develop novel and advanced ALD coatings for optical applications.

“We are very excited about the collaboration. The teamwork has been fruitful, and the results obtained now are excellent. We see optical coatings as one of the emerging ALD applications and believe in strong growth in this market”, says Jussi Rautee, CEO of Picosun.

“We are happy to add ALD technology to our offering. This way we can increase our services for our customers. We have set up a demo capability for ALD optical coatings and Japanese optical companies have shown high interest for this process”, says Masaya Narita, CEO of Shincron.

Abstract submission - Atomic Layer Processing Modelling Workshop 15-16 March Sweden

The abstract submission and registration for our Atomic Layer Processing Modelling Workshop 15-16 March is now open at the conference website 2022 Atomic Layer Processing Modelling Workshop - Linköping University (liu.se) 

Join us in Linköping if the pandemic allows and/or online to discuss how to model atomic layer processes. No registration fee!


AtomicLimits: Atomic Layer Etch Carves the Path to More Efficient Computing

Here is a good blog post by Intermolecular at atomic Limits on advances in ALE and future prospects
  • High-volume manufacturing (HVM) of atomic-scale semiconductor devices requires new approaches to deposit and etch materials in complex nano-architectures. Next-generation logic devices, including gate all-around (GAA) transistors and the conductors that link them together, must be engineered with atomic precision.
  • The selective removal of materials also enables efficient integration schemes which mitigate costly lithography issues and could lead to new ways to make memory devices. Thermal atomic layer etching (ALE) of metals, dielectrics, and semiconductors provides atomically precise isotropic etching in 3D device structures. 
  • ALE processes must be selective to the materials to be etched, have robust process parameter windows, and leave minimal residues or surface damage. The complexity of experimental design requires close collaboration between chemists, process & integration engineers, and device designers, as well as new methods for combinatorial etch testing and smart data handling.
  • Meeting these requirements will ensure high device yields, a necessary outcome for success in the extremely competitive semiconductor market.


Examples of ALE of a trench structure, with poor kinetic control of the ALE process leading to inconsistent etch (top), or good control of the ALE process leading to a surface-limited reaction and consistent etching at high aspect ratio (bottom). (Source: Intermolecular, AtomicLimits.com)