Showing posts with label SK Hynix. Show all posts
Showing posts with label SK Hynix. Show all posts

Saturday, August 26, 2023

SK Hynix Leads DRAM Industry's Rebound in Q2 with Revenue Surge, Reclaims No. 2 Position

South Korea's SK Hynix Inc. has orchestrated a substantial resurgence in the DRAM chip sector during Q2, propelling itself back to the second-largest global position and surging ahead of Micron Technology Inc., which now stands third. The chipmaker achieved a nearly 50% surge in DRAM shipments, propelling its revenue to $3.44 billion in the April-June period. Notably, SK Hynix excelled in DDR5 and HBM chip shipments, products with higher average selling prices (ASPs) than standard commodity DRAM items, thus enhancing its ASP growth by 7-9% compared to the previous quarter. In contrast, market leader Samsung Electronics experienced a 7-9% ASP drop while retaining its top position, and third-place Micron sustained relatively stable ASP with DDR5 shipments. The overall DRAM industry marked a 20.4% QoQ revenue increase in Q2, signaling a potential turnaround in the sector.

SK Hynix leads DRAM industry’s Q2 revenue rebound, retakes No. 2 spot - KED Global

Tuesday, February 8, 2022

Samsung Electronics Is Pushing Hard to Bring Monolithic 3D DRAM to HVM by 2025

Samsung Electronics has been enjoying its DRAM market leader position for about 30 years now. To retain the position further, it has intensified its R&D of monolithic 3D DRAMs to bring them to HVM by 2025.




DRAM’s performance boost based on scaling the cell size or pitch is approaching a physical limit for cramming more cells in a limited space. Additionally, the ultra-high aspect ratio capacitors may collapse leading to compromised device reliability. Therefore, switching from current 2D DRAMs to next-generation monolithic 3D DRAMs seems inevitable.

Samsung has reportedly intensified its R&D on stacking DRAM cells on top of each other in a monolithic fashion, unlike in the case of high-bandwidth memory (HBM), wherein multiple dies are stacked atop each other.

Besides High-k/Metal Gate transistor technology, Samsung is also considering adopting FinFET or gate-all-around (GAA) technology for the DRAM cell transistor to attain better electrostatic control of the charge flow within the channel with the gate electrode.

Micron Technology and SK Hynix are also reportedly developing monolithic 3D DRAMs. Micron recently filed a patent for a monolithic 3D DRAM that is different from that of Samsung. Micron’s approach is to change the shapes of the transistor and capacitor without laying down a cell. Major equipment manufacturers such as Applied Materials and Lam Research are also developing solutions for the monolithic 3D DRAMs.

By Abhishek Kumar Thakur & Jonas Sundqvist

Tuesday, June 1, 2021

South Korean equipment makers recorded mixed results in the first quarter of 2021

출처 : THE ELEC, Korea Electronics Industry Media(http://thelec.net) - South Korean equipment makers recorded mixed results in the first quarter of 2021.

  • Fab equipment vendors posted high growth, while display equipment firms underperformed.
  • Fab equipment makers benefited from aggressive spending by semiconductor companies.
  • CVD/ALD equipment companies showed good growth, see below (Jusung, Wonik IPD, Eugene Technologies

Semes, Samsung Electronics’ fab equipment subsidiary, recorded 870.6 billion won in sales, an increase of 62.3% from a year prior. It recorded 112.8 billion won in operating income, an increase of 40.5% over the same time period. The growth likely stems from Samsung starting to put in equipment to its P2 chip line at its Pyeontaek plant during the quarter. Overheat transport accounted for 60% of the sales recorded by Semes during the quarter.

SFA recorded 355.6 billion won in sales and 42.3 billion won in operating income, a drop of 3.3% and 1.6%, respectively, a year prior. Non-display business accounted for 65.1% of its sales. SFA, which previously focused on display kits, managed to record level earnings to a year prior thanks to other business areas.


Wonik IPS recorded 254.5 billion won in revenue and 24.2 billion won in operating income, a surge of 39.9% and 68.1%, respectively, from a year prior. The firm previously focused on fab equipment for use in memory chip production. But it has begun supplying kits for foundry beginning last year, which helped growth.

Eugene Technology recorded 100.7 billion won in revenue and 30.7 billion won in operating income. The company recorded an operating margin rate of 30.5%. Its LPCVD equipment supplied to SK Hynix for the latter’s M16 DRAM fab led the growth.

Jusung Engineering posted 75.3 billion won in sales in the quarter, double that of the year prior. It turned a profit from a year prior and posted 16 billion won in operating income. The company won the order for atomic layer deposition kits from SK Hynix for use in next-generation DRAMs. Jusung is the sole supplier of the kits.

Hanmi Semiconductor recorded 70.9 billion won in sales, a jump of 79% from a year prior. Its operating income increased 160% year-on-year to 19.3 billion won. It won 22 orders during the quarter. It has signed supply deals with SK Hynix, Amkor Technology Korea, ASE, NXP, Nanya, SPIL and others for a combined worth of 87 billion won.

YIK recorded 67.5 billion won in sales and 9.7 billion won in operating income, a jump of 99.7% and 177.1%, respectively, from a year prior. The firm mainly provides electrical die sorting equipment. The firm is seeing more orders from Samsung, having signed a 155.3 billion won deal with the tech giant in the first quarter alone.

South Korean fab equipment makers are expected to post solid growth throughout 2021 from increased spending this year by Samsung and SK Hynix. SK Hynix had said in the conference call for the first quarter that it plans to execute some of its spending it planned for 2022 earlier to this year.

SEMI is expecting global fab equipment spending to increase 15.5% this year to US$70 billion. Meanwhile, South Korean display equipment makers underperformed during the first quarter.

Samsung Display and LG Display have been conservative with their spending due to uncertainties surrounding the display market. But increased spending in OLED from Chinese panel makers such as BOE and Tianma staved off a huge dip in profitability.

Only few companies recorded growth, such as AP Systems, which saw sales drop 6.9% year-on-year but operating income surge 53.2% over the same time period. The company benefited from laser annealing equipment supplied to BOE for the B12 line.

Youngwoo DSP saw a surge in its operating income from supplies to its Chinese customers. KC Tech saw sales jump 21.1% but operating income remained flat. Top Engineering saw 9.6 billion won in operating loss from the 6.1 billion won operating loss posted by subsidiary Powerlogics. Dong A Eltek recorded 2.3 billion won in operating loss, though sales doubled. The firm said increased cost from the pandemic stunted growth.

Charm Engineering continued to record loss. HB Technology, Toptec and Philoptics all turned to the red. 

Local display equipment makers are expected to see a turnaround starting in the fourth quarter when Samsung Display and LG Display decide on new spending plans around the same time.


Friday, February 26, 2021

Tech Insights Teardown: Samsung’s D1z DRAM with EUV Lithography

Advanced and costly schemes for ArFi immersion-based multi-pattering are definitely running out of steam for leading-edge logic and EUV is continuing the march into high volume manufacturing now also for DRAM. The other day there was an announcement that SK Hynix, the world´s number two DRAM maker has signed a 5-year agreement with ASML for EUV scanners (LINK).

As a European, I must say that I like the situation that the key to continued scaling is kept in The Netherlands (ASML) and also the important key technology providers in Germany (Zeiss SMT, Trumpf) and Belgium in the form of the worlds leading research institute for scaling CMOS - imec and the EUV Resist Manufacturing & Qualification Center NV (EUV RMQC), a Joint Venture between imec and  JSR Micro NV (LINK).

Reuters: SK Hynix signs five-year deal worth $4.3 billion with ASML to secure EUV scanners


Now DRAMs from Samsung Electronics with applied EUV lithography technology for D1z DRAM in mass production have been found in the field and analyzed by Tech Insights and reported by EETimes (LINK).

According to EETimes, Samsung Electronics announced the world’s first development of both ArF-i based D1z DRAM and separately its EUV lithography (EUVL) applied D1z DRAM last year.

Tech Insights is excited that we have finally found Samsung’s new and advanced D1z DRAM devices and confirmed details of this technology.

Here just a teaser, please check out the original EETimes article or get the full report from Tech Insights (LINK).


Samsung DRAM cell design, a comparison of BLP patterns on D1z (a) without EUVL and (b) with EUVL.


Wednesday, September 2, 2020

TechInsights’ Memory Process: 3D NAND Word Line Pad webinar

TechInsights’ ‘Memory Process: 3D NAND Word Line Pad‘ #webinar compares 9x-layer 3D NAND devices from major manufacturers and discusses the process sequence with emphasis on the word line pad (WLP). Watch on demand here LINK 

Screendump from Webinar

Saturday, November 2, 2019

Micron claim DRAM Technology Leadership As Samsung And SK Hynix Push Out EUV

  • ASML reported that four EUV lithography systems will be pushed out from shipping in 4Q 2019.
  • My analysis suggests Samsung Electronics and SK Hynix are two of the companies pushing our EUV for their memory business.
  • Micron's 1z nm DRAM already is technologically advanced, and are two quarters ahead of Samsung and one year ahead of SK Hynix.

Full article: Micron: DRAM Technology Leadership As Samsung And SK Hynix Push Out EUV, Seeking Alpha (LINK)


A DRAM roadmap by the Information Network showing Micron’s transition to 1z nm and gain of leadership over rivals Samsung and SK Hynix.

Thursday, January 3, 2019

Innovation and IP filing in Atomic Layer Deposition has moved from Memory to Logic

By studying the filing of IP world wide one can clearly see the trend how innovation in Atomic Layer Deposition (ALD) has moved from Memory to Logic. During the introduction of ALD (2003 to 2006) in high volume manufacturing of DRAM on 300 mm wafers most IP was filed by Samsung, Micron and SK Hynix. 10 years later (2013-2018) the IP filing lead has been taken over by Logic MPU manufacturers TSMC, Intel and Globalfoundries.

The patent application assignee from the past 25 years.