Wednesday, February 28, 2024

ASM International: Spearheading Semiconductor Innovation in ALD, Epitaxy, and CVD Markets

ASM International N.V. (Euronext Amsterdam: ASM) yesterday reported its fourth quarter 2023 operating results (unaudited). Double-digit full-year revenue growth, outperforming softer WFE market in 2023

“2023 was another successful year for ASM. Sales increased by 13% at constant currencies, despite softening market conditions, and marking the seventh consecutive year of double-digit growth.” said Benjamin Loh, CEO of ASM. “Revenue in Q4 2023 amounted to €633 million, in line with our guidance of €600-640 million and down compared to the level in Q4 2022. Revenue in the quarter was supported by strong sales in the power/analog/ wafer segment. Bookings at €678 million were slightly better than our expectation and were driven by GAA pilot- line orders and continued strength in China demand.

ASM's Leadership in the Growing ALD Market

According to ASM, the single wafer Atomic Layer Deposition (ALD) market is experiencing significant growth, with projections indicating an increase from $2.6 billion in 2022 to a range of $4.2 billion to $5.0 billion by 2027. This growth, characterized by a Compound Annual Growth Rate (CAGR) of 10-14% from 2022 to 2027, underscores the expanding role of ALD technology in semiconductor manufacturing. ASM International, a key player in the semiconductor industry, holds a dominant position in this market, commanding a share of over 55% throughout the forecast period.

Please note that this market assessment, most probably originally from TechInsights (prev. VLSI Research) does not include Large Batch furnace ALD, which historically have been about 30% of the total 300 mm ALD equipment market. The leaders in this segment are Tokyo Electron followed by Kokusai and ASM chose not to compete with its A412 ALD product line.

Driving Forces Behind ALD Market Expansion

The expansion of the ALD market is propelled by a series of technological advancements and increasing demands within the semiconductor sector. Key factors contributing to this growth include the industry's shift towards Gate-All-Around (GAA) technology, the necessity for advanced high-k gate dielectrics, and the precision required for threshold voltage tuning. Additionally, the development of sacrificial layers and the use of high aspect ratio Through-Silicon Vias (TSVs) are critical in advancing semiconductor manufacturing techniques. The application of metals and the adoption of selective ALD processes further accentuate the importance of ALD technology in modern semiconductor fabrication.


ASM's Strategic Positioning and Market Opportunities

ASM is well-positioned to capitalize on the opportunities presented by the burgeoning ALD market. The company's strategic emphasis on innovation, coupled with its comprehensive product portfolio, positions ASM as a frontrunner in meeting the evolving needs of the logic/foundry and memory segments of the semiconductor industry. The transition to advanced manufacturing technologies, such as GAA and high-k metal gate applications, presents significant growth avenues for ALD, with ASM at the forefront of this technological evolution.

To be more specific, the transition to GAA technology and the expansion in FinFET applications are set to significantly increase ASM's served available market by approximately US$400 million for every 100,000 wafer starts per month (WSPM). According to ASM, the equipment orders started to come in in the 2nd half of 2023. We can assume that this are orders from Samsung, TSMC and Intel. It is however about peculiar since Samsung had 3 nm GAA going already with yield in August 2023 and ASM is describing it as GAA pilot lines. Anyhow, come 2028 when all leading foundries including Rapidus in Japan are up and running GAAFETs, this additional market will be + USD 1.5 B as compared to if it would have been "only" FinFET technology - according to my back of the envelope calculations. For a company like ASM, with just below USD 3 B (2.6 B EUR) annual Revenue 2023 this is a huge thing. If this is not enough to go woah - add to that the GAAFET market is an upwards moving target and will continue to grow and looking ahead stacking of NMOS/PMOS will drive further demand for this type of ALD and Epi processes.

Expansion into the Epitaxy and CVD Markets

The Silicon Epitaxy (Si epi) market is also on a growth trajectory, with forecasts suggesting it will reach between $2.3 billion and $2.9 billion by 2027. ASM aims for a market share target of over 30%, focusing on both leading-edge and non-leading-edge segments. The leading-edge growth is driven by transitions to GAA technology and advancements in high-performance DRAM, while the non-leading-edge growth is buoyed by wafer power analog and strong momentum from ASM's Intrepid ESA. The epitaxy market is expected to see a Compound Annual Growth Rate (CAGR) of 3-8% from 2022 to 2027, with the leading-edge segment outpacing the overall market with a CAGR of 10-15%.

Regarding the SiC market, the investor presentation highlighted significant growth in power/analog/wafer revenue, almost doubling, primarily driven by robust demand in China. This growth was positively impacted by the consolidation of LPE (SiC Epitaxy), with sales comfortably exceeding the target of more than €130 million in 2023. This indicates ASM's strong performance in the SiC market and its successful integration and expansion in SiC epitaxy, aligning with the broader industry trend towards more advanced and efficient semiconductor materials.

Chemical Vapor Deposition (CVD) technology is another area of focus for ASM, particularly in the context of transitioning to new materials like Molybdenum, which is replacing traditional materials such as CVD Tungsten and PVD Copper in interconnect applications. This shift is indicative of the evolving needs within the semiconductor manufacturing process and highlights ASM's adaptability to changing market dynamics.

In summary, ASM's strategic initiatives in ALD, Epitaxy, and CVD technologies underscore the company's commitment to innovation and leadership within the semiconductor equipment market. Through a combination of market foresight, technological prowess, and strategic investments, ASM is well-positioned to capitalize on the growth opportunities presented by the evolving semiconductor landscape. 

Tuesday, February 27, 2024

Applied Materials Unveils Cutting-Edge Patterning Technologies for Next-Gen Semiconductor Device Manufacturing

Applied Materials is leading the charge into the angstrom era of chipmaking, unveiling a suite of innovative solutions at the SPIE Advanced Lithography + Patterning conference. The company's focus is on overcoming the challenges posed by extreme ultraviolet (EUV) and high-NA EUV lithography, crucial for the production of chips at 2nm process nodes and below. Their approach integrates new materials engineering, metrology techniques, and pattern-shaping technology to enhance chip performance and yield.


To help overcome patterning challenges for leading-edge chips, Applied Materials offers a portfolio of technologies designed to complement the latest advances in lithography. The company’s newest innovations include the Producer® XP Pioneer® CVD patterning film, the Sym3® Y Magnum™ etch system, the Centura® Sculpta® pattern-shaping system and Aselta contour technology for design-based metrology.

Central to Applied Materials' advancements is the Sculpta® pattern-shaping technology, first introduced at the previous year's conference. Sculpta has seen growing adoption among top logic chipmakers for its ability to refine EUV patterning, notably reducing double patterning steps and mitigating defects such as bridge defects. This technology not only lowers patterning costs but also improves chip yields, showcasing its increasing importance in the semiconductor manufacturing landscape.


Over the next few years, chipmakers will be looking to create “angstrom era” chips that will use EUV and High-NA EUV lithography to pattern their smallest features. An entire ecosystem of capabilities will be required to enable this advanced patterning – including software and design tools, innovations in deposition and etch, advanced metrology and inspection systems, and entirely new approaches such as pattern shaping.

In response to the issue of EUV line edge roughness, Applied Materials has launched the Sym3® Y Magnum™ etch system. This innovative system employs a combination of deposition and etch processes within a single chamber to smooth out rough edges before etching, thereby enhancing yield and chip performance.

Additionally, the company introduced the Producer® XP Pioneer® CVD patterning film, designed for high-fidelity pattern transfer with enhanced resistance to etch chemistries. This film is especially significant for advanced process nodes, offering improved sidewall feature uniformity and co-optimization with both Sculpta and the Sym3 Y Magnum system for superior patterning capabilities.

To address the critical issue of feature alignment across chip layers, Applied Materials has acquired Aselta Nanographics, integrating its design-based metrology with Applied's leading eBeam systems. This integration enables a comprehensive metrology solution that significantly enhances feature placement accuracy, crucial for optimizing chip performance and yield.

Applied Materials' expansion of its patterning solutions portfolio underscores its commitment to advancing semiconductor technology. By addressing key challenges in EUV lithography and introducing groundbreaking technologies, the company is setting new standards for the industry, driving forward the capabilities of angstrom era chipmaking.

Source: Applied Materials Expands Patterning Solutions Portfolio for Angstrom Era Chipmaking | Applied Materials

DOE Invests $4M in Argonne's ALD Tech to Develop Energy-Efficient Semiconductor Devices

The US Department of Energy (DOE) has awarded Argonne National Laboratory a $4 million grant to pioneer research in microchip energy efficiency using Atomic Layer Deposition (ALD). This innovative project, part of the DOE's Energy Efficient Scaling for Two Decades (EES2) initiative, aims to harness the potential of 2D materials, specifically molybdenum disulfide (MoS2), to create microchips that could consume up to 50 times less energy than current models. 


Led by Argonne's Distinguished Fellow Jeffrey Elam, the research team will collaborate with Stanford, Northwestern, and Boise State Universities to develop ALD techniques for fabricating atomically precise MoS2 films. This breakthrough could lead to microchips with integrated memory and logic functions, significantly reducing energy waste and addressing the critical "von Neumann bottleneck" in computing. The project is a step forward in the global effort to enhance computational efficiency and sustainability.

Monday, February 26, 2024

PRiME 2024: A Global Convergence on Atomic Layer Processing Set for Honolulu This October

The PRiME Joint International Meeting, organized by the Electrochemical Society and sister societies from Japan and Korea, will take place from October 6-11, 2024, in Honolulu, Hawaii. Anticipating over 4000 participants, the conference will focus on solid-state science, technology, and electrochemistry. Symposium G01 invites submissions on Atomic Layer Deposition and Etching, covering topics from semiconductor applications to energy storage. The deadline for abstract submission is April 12, 2024. Last year's event saw 78 presentations, indicating a strong interest in the field. For visa, travel information, and participation letters, contact ECS representatives.



Every four years, the PRiME Joint International Meeting is held under the auspices of the Electrochemical Society (ECS), joint with its sister Societies of Japan and Korea.

This fall, PRIME 2024 will be held on Oct. 6-11, 2024 in Honolulu, Hawaii, and is expected to gather over 4000 participants and 40 exhibitors from both academia and industry.

The conference has a strong focus on emerging technology and applications in both solid-state science & technology and electrochemistry.

General information and the Meeting Program can be found here: CALL FOR PAPERS.

The organizers of symposium G01 on “Atomic Layer Deposition & Etching Applications, 20” encourage you to submit your abstract(s) on topics, comprising but not limited to:

1. Semiconductor CMOS applications: development and integration of ALD high-k oxides and metal electrodes with conventional and high-mobility channel materials;

2. Volatile and non-volatile memory applications: extendibility, Flash, MIM, MIS, RF capacitors, etc.;

3. Interconnects and contacts: integration of ALD films with Cu and low-k materials;

4. Fundamentals of ALD processing: reaction mechanisms, in-situ measurement, modeling, theory;

5. New precursors and delivery systems;

6. Optical, photonic and quantum applications; applications aiming at Machine Learning, Artificial Intelligence

7. Coating of nanoporous materials by ALD;

8. Molecular Layer Deposition (MLD) and hybrid ALD/MLD;

9. ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;

10. ALD for energy storage applications;

11. Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates, including roll-to-roll deposition;

12. Area-selective ALD;

13. Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.

FYI: Last year in Gothenburg, our symposium G01 on ALD & ALE Applications 19 attracted a record number of 78 presentations, composing a full 4-day schedule of 66 oral (of which 18 invited), plus 12 poster presentations.

We will traditionally attract more attendants from Far East and expect to be as successful this fall in Hawaii.

Abstract submission

Meeting abstracts should be submitted not later than the deadline of April 12, 2024 via the ECS website: Submission Instructions

Invited speakers

A list of confirmed invited speakers (from North America, Asia and Europe) will soon be available.

Visa and travel

For more information, see: VISA AND TRAVEL INFORMATION

In addition, Mrs. Francesca Spagnuolo at the ECS (Francesca.Spagnuolo@electrochem.org) can provide you with an official participation letter issued by the Electrochemical Society.

For (limited) general travel grant questions, please contact travelgrant@electrochem.org.

We are looking forward to meeting you all at our symposium G01 on ALD & ALE Applications 20, in Honolulu | Oct. 6-12, 2024 !

Symposium organizers:

F. Roozeboom, (lead), University of Twente; e-mail: f.roozeboom@utwente.nl,
S. De Gendt, IMEC & Catholic University Leuven,
J. Dendooven, Ghent University,
J. W. Elam, Argonne National Laboratory,
O. van der Straten, IBM Research,
A. Illiberi, ASM Europe,
G. Sundaram, Veeco,
R. Chen, Huazhong University of Science and Technology,
O. Leonte, Berkeley Polymer Technology,
T. Lill, Clarycon Nanotechnology Research,
M. Young, University of Missouri,
A. Kozen, University of Vermont.

Saturday, February 17, 2024

After decline of -13%, TECHCET reports consecutive YoY double-digit growth

San Diego, CA, February 16, 2024: TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — is anticipating a strong rebound in the semiconductor memory market segment for 2024, which will lead the total semiconductor industry into an upturn. This comes after a decline in total semiconductor revenues to US$572 billion in 2023, a -13% change compared to 2022. Significant revenue growth is expected in 2024 of 12%, followed by even stronger growth in 2025 of 21%. Moderated growth is anticipated in 2026 as the market enters a downcycle later that year.


By 2029, the market is set to eclipse the US$900 billion point, but the elusive US$1 trillion echelon is not predicted by TECHCET to be reached until 2031 or 2032.

While the cyclicity of the semiconductor market is evident in this forecast, overall revenue trends for materials markets are more moderate and often do not exhibit the same swings in ASP’s or revenues as semiconductor device revenues. TECHCET will provide an overview of the current materials market outlooks with respective insights at their upcoming Advisory Alert Webinar, on April 21st, available to member subscribers and special guests.

To get more market and supply chain information on TECHCET’s forecasts and Critical Materials Reports™, go to:

Don’t miss the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

SIA Feb. 22 for a webinar on the 2023 semiconductor market and 2024 outlook

SIA Feb. 22 for a webinar on the 2023 semiconductor market and 2024 outlook. Insights from Dan Hutcheson, Dale Ford, Lita Shon-Roy, and Christopher Danely. Discover trends and future projections. Don't miss out!

A Review of the 2023 Semiconductor Market and a Look to 2024


Join us Thursday, Feb. 22 at 2 pm ET for the complimentary SIA Webinar—A Review of the 2023 Semiconductor Market and a Look to 2024.

Semiconductor sales declined by 8.2% in 2023, confirming projections that the industry would experience negative growth. Despite the decrease in annual revenue, the second half of the year saw consistent month-over-month sales growth, signaling the beginning of the new semiconductor business cycle. In addition, the increase in the latter half of the year was driven by sales in end-markets—such as AI, automotive, and industrial—that are expected to propel the industry to $1 trillion by 2030.

Please join a panel of semiconductor market experts to discuss 2023 sales trends and an outlook of the market in 2024. Panelists include Dan Hutcheson, Vice Chair at TechInsights; Dale Ford, Chief Analyst at the Electronics Components Industry Association (ECIA); Lita Shon-Roy, President/CEO and Founder of TECHCET; and Christopher Danely, Managing Director, Citi. The session will be moderated by Robert Casanova, Director of Industry Statistics and Economic Policy at SIA.



We look forward to seeing you on Thursday, Feb. 22 at 2 pm for the complimentary SIA webinar!

Register for the webinar here.

Thursday, February 15, 2024

Webinar - ALD of nitrides - enabling metastable nitrides by plasma ALD

Welcome to the next Applied® Picosun® research community webinar!

Time: Tuesday, 9th of April, 2024 at 13:00 CET
Length: 45 minutes

Although ALD is well known for binary oxides, it is less understood for binary nitrides. Some commonly studied nitride examples are SiNx and TiN that have been extensively investigated while GaN and AlN are less reported since ALD has not been needed for these materials. We have recently shown how plasma ALD seems to be a true enabler for InN, which is metastable both as binary and when combined to form ternaries. Apart from nitrides for optoelectronics, metastable cubic AlTiN has been used to increase the service life of cutting tools. Cubic AlTiN is routinely synthesized using physical vapor deposition techniques operating far from thermal equilibrium. Recently, it has been shown that metastable, cubic AlTiN with high Al content can be deposited close to thermodynamic equilibrium by deposition techniques working at very low pressures. However, very little is understood about the deposition chemistry in these processes, limiting process development to be supported by educated guesses rather than scientific understanding. In the research, a scientific understanding of the deposition chemistry for cubic AlTiN is formed, to facilitate the development of better, more sustainable processes for these coatings.




Speaker: Pamburayi Mpofu, Linköping University, Pedersen Group

Pamburayi Mpofu is a third-year Doctoral Candidate in Materials Chemistry and a member of the Henrik Pedersen Group in the Department of Physics, Chemistry, and Biology (IFM) at Linköping University, Sweden.

Pamburayi holds a Master’s degree in Chemistry from Linköping University. His research interests are in Inorganic Materials Chemistry with a focus on atomic layer deposition (ALD). He is currently working on understanding, on a fundamental atomic level, the surface chemistry governing the deposition of metastable ternary nitrides (in particular AlTiN) thin layers of materials by ALD, for protective hard coating applications.
"I will describe the general problems for doing ALD of nitrides and why ALD seems to be an enabler for metastable nitrides will be described. With focus on my research on AlTiN I will show how I use ALD in developing an understanding of the surface chemistry during the deposition processes. Using in-situ techniques, to study the surface chemistry while navigating the precursor chemistry to generate experimental data that we compare with modeling results to provide an atomic scale perspective of the surface chemistry."


AMEC's Revenue Grows with Advanced Etch Technology Fueling China's Semiconductor Surge

AMEC, China's etching tools giant, forecasts a 30%+ revenue jump in 2023, driven by local semiconductor demand and replacing US parts with domestic ones.

Advanced Micro-Fabrication Equipment Inc. (AMEC), a leading Chinese provider of semiconductor etching tools, is set to witness a significant revenue surge exceeding 30% in 2023, buoyed by robust demand from China's burgeoning semiconductor manufacturing sector. AMEC's strategic focus on core technological innovations has enabled the deployment of an array of sophisticated chip-making equipment to domestic fabs, catering to the critical process of etching in semiconductor production. AMEC's revenue for 2023 is projected to hit 6.26 billion yuan ($879 million), marking a 32.1% increase from the previous year, underpinned by a substantial 8.36 billion yuan in new orders. This growth trajectory is further evidenced by an impressive net profit forecast ranging between 1.7 billion yuan and 1.85 billion yuan, showcasing year-on-year growth of 45% to 58%. Central to AMEC's success is its adeptness in navigating the challenges posed by tightened US semiconductor sanctions. 



The company has embarked on an ambitious strategy to diminish reliance on foreign components, with a commitment to replacing 80% of US-restricted components with locally sourced parts by the end of last year, aiming for a complete transition by 2024. AMEC's product lineup, particularly its core etching tools like the capacitively coupled plasma (CCP) and inductive coupled plasma (ICP) systems, is pivotal to its revenue stream, anticipated to constitute 75% of its total earnings for the year. These etching tools, essential for the intricate process of sculpting microscopic circuits onto semiconductor wafers, have gained substantial traction among Chinese foundries. This is a testament to AMEC's technological prowess and its role in bolstering China's self-sufficiency in semiconductor manufacturing. 

The company's market share in domestic CCP equipment is expected to soar to 60%, a significant leap from 24% in October 2022. Similarly, AMEC's foothold in the ICP equipment market is projected to reach an impressive 75%, marking a dramatic rise from virtually zero. This growth is particularly notable against the backdrop of declining mainland sales of once-dominant US chip equipment manufacturers like Lam Research. AMEC's technological offerings, characterized by advanced etching capabilities and innovation-driven development, cater primarily to China's semiconductor fabs. These fabs are integral components of the nation's strategic push towards self-reliance in semiconductor production, a sector that has become a focal point of international geopolitical tensions and trade restrictions. As such, AMEC not only stands as a technological leader but also as a key enabler of China's ambitions in the global semiconductor arena.

Scalable Electronic-Grade Van der Waals Tellurium Thin Films

Scalable Electronic-Grade Van der Waals Tellurium Thin Films: A study demonstrated a scalable ALD route for creating electronic-grade van der Waals tellurium (Te) thin films. By employing acid-base precursors and co-reactants, the research team successfully produced dense, continuous Te thin films on a wafer scale. This breakthrough is crucial for various electronic devices, promising enhancements in transistors, rectifiers, and selection elements.


Process Controlled Ruthenium on 2D Engineered V-MXene via Atomic Layer Deposition for Human Healthcare Monitoring

Engineering 2D MXene Family with Precious Metals: A novel approach has been introduced for the engineering of the 2D MXene family using precious metals through ALD techniques. This development opens new possibilities in personal healthcare devices, clean energy conversion, and storage systems by enabling the integration of precious metals like Ru, Ir, Pt, and Pd at an atomic scale, enhancing surface activity and energy performance​​.

In the study, a traveling-wave type thermal Atomic Layer Deposition (ALD) reactor (Lucida D-100, NCD Technology, Korea) was utilized to deposit ruthenium films on SiO2/Si wafers and delaminated V2CTx MXene. The ruthenium metal-organic precursor used was tricarbonyl(trimethylenemethane)ruthenium, [Ru(TMM)(CO)3], provided by TANAKA Precious Metals (Japan). Oxygen (O2) served as the reactant gas in the deposition process. The ALD process involved a sequence of precursor pulsing, nitrogen purging, reactant gas pulsing, and another nitrogen purging to ensure self-limiting growth and uniform film deposition.



A schematic of atomic layer deposition process and step coverage of ALD-Ru film. Credit: Advanced Science (2023). DOI: 10.1002/advs.202206355

The key highlights and potential applications of this research include:

Enhanced Temperature Sensing Performance: The delaminated V-MXene engineered with ruthenium via ALD shows a threefold increase in temperature sensing performance compared to V-MXene alone. This improvement is attributed to the highly ordered few-layer structure of V-MXene and the controlled atomic doping of ruthenium, forming a heterostructure that enhances sensing and reversibility.

Advanced Material Characterization: The study uses high-resolution electron microscopy techniques coupled with next-generation technology for detailed investigation of the heterostructure's formation, providing insights into the role of ruthenium in improving the sensor's performance.

Potential for Healthcare Applications: The sensor's high sensitivity and reliability in temperature detection make it suitable for various healthcare applications, including real-time skin temperature monitoring, non-contact touch, and breathing rate detection. This could be particularly useful for personal healthcare devices, offering a non-invasive way to monitor vital signs and detect potential health issues early.

Human-Machine Interface: The sensor's ability to detect temperature changes accurately and reliably can be applied in human-machine interfaces, such as wearable devices or smart textiles, enhancing user interaction through temperature-sensitive controls or feedback mechanisms.

Scalability and Environmental Consideration: The use of an industrially scalable ALD technique for sensor development, combined with a mild etching process for V-MXene synthesis, points towards the potential for large-scale production with reduced environmental impact.

Versatility and Multifunctionality: The combination of V-MXene's large surface area, hydrophilicity, and the electronic properties of ruthenium suggests that beyond temperature sensing, this material system could be explored for other applications like humidity sensing, energy storage, and conversion, indicating a broad scope for future research and development.

Wednesday, February 7, 2024

Tyler J. Myers Launches The ALDepartment YouTube Channel to Explore and Expand the World of Atomic Layer Deposition

Tyler J. Myers has launched a new initiative called The ALDepartment, following his departure from the ALD Stories podcast. This project aims to delve deeper into the field of Atomic Layer Deposition (ALD) by creating a platform that encompasses a wide array of ALD-related topics. The ALDepartment, hosted on YouTube, is set to feature educational content, interviews with influential figures in the ALD community, commentary on recent developments within the field, and even some entertainment-focused videos.




Myers' first video on the channel serves as an introduction, outlining his motivations for starting this venture and what viewers can expect from future content. 


Tuesday, February 6, 2024

Powering the Future: The Rise of Compound Semiconductor Substrates and Epiwafers

Yole Group reports that the compound semiconductor substrate market is on the brink of a significant transformation, poised to reach a staggering US$3.3 billion by 2029, with an impressive compound annual growth rate of 17% from 2023 to 2029. This growth is underpinned by the relentless innovation and strategic foresight of leading players like Wolfspeed and Coherent, who are continuously refining their product portfolios and expanding their market footprints.

Atomic Layer Deposition (ALD) and Atomic Layer Etching (ALE) play specific roles in the compound semiconductor industry. ALD is used to apply ultra-thin layers crucial for semiconductor devices, especially in insulating layers and gate dielectrics in transistors. ALE, with its precise etching capability, is key for crafting fine details in devices, often used in the patterning of nanoscale structures in LEDs and high-frequency transistors. These technologies support the development of advanced, reliable applications in power electronics and photonics.

At the heart of this industry evolution are the advancements in compound semiconductor technologies, spanning materials such as Silicon Carbide (SiC), Gallium Nitride (GaN), and Indium Phosphide (InP). These materials are catalyzing a revolution across various sectors, with SiC leading the charge in the automotive industry, particularly within the burgeoning 800V electric vehicle segment. GaN, on the other hand, is making inroads into consumer electronics and automotive applications, promising to redefine power electronics with its superior efficiency.

Check link below for High-Res graph

The impact of compound semiconductors extends beyond power electronics into the realm of photonics, where InP and GaAs are setting new benchmarks. InP, for instance, is witnessing a resurgence, driven by its critical role in AI applications, while GaAs photonics continues to grow, albeit at a steadier pace.

Yole Group, a market research and strategy consulting firm, in its latest "Status of Compound Semiconductors Industry 2024" report, provides an exhaustive analysis of these trends. The report delves into each substrate's market dynamics and technological advancements, offering a comprehensive overview of the ecosystem.

LINK: Compound semiconductors industry: an unprecedented promise (yolegroup.com)

As the industry stands at the precipice of transitioning to larger diameter substrates, the demand for high-data-rate lasers in AI is pushing for a shift to 6” InP substrates. Concurrently, GaAs is exploring the potential of 8” manufacturing for MicroLEDs, despite the challenges it faces against OLED technology.

In this dynamic landscape, companies like Wolfspeed and Coherent are not just participants but are leading the charge towards a more efficient, technologically advanced future. Their efforts in expanding material capacity and forging strategic alliances are testament to the industry's readiness to embrace the next wave of semiconductor innovation.