Tuesday, June 24, 2014

Vacation - Blog is down until mid July

‎Dear Reader of probably the best ALD Blog 

I am on vacation until mid July see you on three weeks!

Bis BALD,
BALD Engineering
http://www.baldengineering.com/

Monday, June 16, 2014

1st Belux workshop on Coating (CVD, ALD, Epiaxy), Materials, Surfaces and Interfaces

The 1st Be-lux workshop will be held in Belvaux from 11th to 12th September 2014. The workshop will provide a common ground to address today's challenges and facilitate discussions on improving the state of the art and increasing fundamental insights related to chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma-CVD, epitaxy, chemical etching and surface functionalization. These processes share a common involvement of complex interactions between solid surfaces and reactive species from gaseous and possibly liquid phases. Private and public research in these areas is inherently multidisciplinary and addresses the topic from process development, monitoring and application angles. [Thanks Henrik Pedersen for the tip!]
 
 
 
For this workshop we invite contributions that concern:
  • Innovative chemistries or hardware modifications for film growth and surface treatments. This includes the ALD of metals and the growth of multi-phase coatings; issues related to the chemical etching of some challenging materials (e.g. Pt) as well as to other general limitations such as side wall damage and surface roughness.
  • In situ monitoring approaches, such as those involving e.g. infrared spectroscopy, mass-spectrometry, ellipsometry, X-ray techniques, gravimetry and optical characterization. Diagnostic techniques in real time are required for the understanding of surface chemistries and material growth, providing information at the molecular level and nanometric scale; and assessing process kinetics. Both are essential inputs for process modeling and upscaling.
  • Theoretical approaches, such as DFT modeling, to improve the understanding of deposition and etch processes.
  • Integrating scientific and engineering developments to address novel applications involving the deposition of 2D materials (MX2, graphene, superlattices,..), 3D structures (conformal deposition, filling, nucleation, deposition of nano-sized powder…) and the growth of multi-component coatings.
 
Invited speakers:

Prof. Mikko Ritala
Professor of Inorganic Materials Chemistry, Laboratory of Inorganic Chemistry, Department of Chemistry, University of Helsinki, Finland

Prof. Claire J. Carmalt
Head of Inorganic & Materials Chemistry Section, Department of Chemistry
University College London, UK

Prof. Christophe Detavernier
Coating and contacting of nanostructures Research Group
Ghent University, Belgium

ALD NanoSolutions is the first company to carry out ALD on particle surfaces and on polymer surfaces

Accordng to recently updated information on ALD NanoSolutions web : ALD NanoSolutions is the first company to carry out atomic layer deposition on particle surfaces and on polymer surfaces (also includes non-particle surfaces).
 
 
ALD NanoSolutions manufactures Fluidized and rotating beds ALD reactors and technology through licensing agreements: "Fluidized and rotating beds have distinct advantages with respect to ALD processing. The FBX offers the well characterized fluidized bed processing vessel; widely used, easy scaled, and excellent for thermal ALD. The RX uses a rotating bed style, which allows for easier loading and unloading, static dosing, glove box loading, and optional plasma processing. Both reactor styles are benchmark designs used for years to produce state of the art coated materials." [aldnanosolutions.com]
 
Because of this innovation, the U.S. and foreign patent offices have issued broad process and composition of matter patent claims for ALD on particles and polymers, including more than 100 related claims. ALD NanoSolutions, Inc. has exclusive rights to practice and to license the technology covered by the following patents: 

Particle Patents
Atomic Layer Controlled Deposition on Particle Surfaces – US6,613,383
Insulating and Functionalizing Fine Metal-Containing Particles with Conformal Ultra-thin Films – US6,713,177; EP1412175B7; JP4507598B; CA2452531C
Nanocoated Primary Particles and Method for their Manufacture – US6,913,827
Nanomaterials for Quantum Tunneling Varistors – US7,132,697
Dental Composite Filler Particles – US7,396,862
Titanium Dioxide Particles Coated via an Atomic Layer Deposition Process – US8,133,531

Methods for Producing Coated Phosphors and Host Material Particles Using Atomic Layer Deposition Methods – US8,163,336; US8,637,156
Metal Ferrite Spinel Energy Storage Devices and Methods for Making and Using Same – US8,187,731

Polymer Patents
Method for the Deposition of an Inorganic Film on an Organic Polymer Surface using Atomic Layer Deposition Techniques – JP4295614; CA2452656C; Pending US & EPO
Protective Coatings for Organic Electronic Devices made using Atomic Layer Deposition and Molecular Layer Deposit Techniques – JP5220106; Pending US & EPO

MEMS Patents
Atomic Layer Deposition on Micro-Mechanical Devices – US7,426,067
Al2O3 Atomic Layer Deposition to Enhanced the Deposition of Hydrophobic or Hydrophilic Coatings on Micro-Electromechanicals Devices – US7,553,686

Supporting Patents
A Solid Material Comprising a Thin Metal Film on its Surface and Methods for Producing the Same – US6,958,174
Crystal Microbalance Holder – US8,531,090

ALL ABOUT ALD technology seminar by ASM at AVS ALD 2014 Tuesday June 17

For those of you attending the AVS ALD 2014 conference in Kyoto Japan : INVITATION ASM WELCOMES YOU TUESDAY JUNE 17, 2014 - ALL ABOUT ALD In this technology seminar, ASM and a distinguished guest speaker will address challenges and opportunities for plasma enhanced ALD processes and equipment to manufacture next generations of micro-electronic devices.
 
 



PICOSUN™ P-300B ALD production tool is a success

Picosun reports today: 16th June, 2014 – Picosun Oy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment for global industries, reports several new orders for it­s success product for High Volume Manufacturing, the PICOSUN™ P-300B batch ALD tool.

Multiple industrial production customers around the world, representing fields such as MEMS (MicroElectroMechanical Systems), LEDs (Light-Emitting Diodes), and passivation of large batches of machined metal parts, have placed repeated orders for P-300B ALD systems. Some of the systems also come equipped with various automatic loading options available from Picosun, such as linear loading or batch handling with industrial robotics. 
 
PICOSUN™ P-series Atomic Layer Deposition (ALD) systems set a new standard for ALD production tools, providing extremely fast process times and very low cost of ownership with the patented design solely based on the requirements of the ALD method. The reactor design is optimized for efficient processing of batches of 4-18" (100-450 mm) or 156 mm x 156 mm solar wafers  and  glass substrates up to 460 mm x 640 mm with high throughput that fulfills HVM requirements for both for electronics manufacturing and solar applications. PICOSUN™ P-series ALD tools are reliable, have a small footprint and are fully compatible with the most stringent clean room processing requirements. Operation and maintenance of the PICOSUN™ P-series ALD tools is fast and simple. Excellent particle performance and short cycle times can be reached thanks to the unique batch chamber design. Performance of the ALD system can be maximized with automated loading systems. Facts about the PICOSUN™ P-300B ALD can be found here.
 
P-300B ALD system is well-known for its world leading process quality regarding particle levels down to 0 – 2 added particles per wafer and lower than 1 % film non-uniformity in a batch for several processes. Easy and fast maintenance, as high as 99 % uptime, and 100 % yield have been reported by customers.  

“We are proud that our newest generation of batch ALD tools have provided for industrial breakthrough of ALD to several completely new application areas, enabling Picosun’s frontline position in spearheading new technologies and adding value to existing ones with today’s leading thin film processing solutions. Our dedication and unparalleled, cumulative know-how in ALD has again manifested itself in the repeated demand for our production ALD technology from various prominent global industries,” summarizes Juhana Kostamo, Managing Director of Picosun.  

Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.

History of ALD - Tutorial lecture by Riikka Puurunen AVS ALD 2014, Kyoto, Japan

History of Atomic Layer Deposition - Tutorial lecture given at the 14th International Conference on Atomic Layer Deposition in Kyoto, June 15, 2014.

Thursday, June 12, 2014

Webhosting for The Virtual Project on the History of ALD - VPHA

Webhosting for The Virtual Project on the History of ALD - VPHA - through BALD Engineering. The Virtual Project on the History of ALD is a worldwide collaborative effort, carried out in atmosphere of openness, respect and trust - anyone welcome to attend! Please check for future updates!


VPHA main links:




VPHA files


Other ALD history presentations/blog posts:





Malygin presentation [to be updated]



Events

AVS ALD 2014 in Kyoto Japan - Tutorial & Workshop
June 15th 2014, Sunday
16:20-17:10 ALD History
by Riikka Puurunen, VTT, Finland

Poster Session
June 16th Monday, 18:00‐20:00
16P003 On the Early History of ALD: Molecular Layering
Riikka Puurunen et al., VTT Technical Research Centre of Finland, Finland

Beneq Announces Relocation to Join Lumineq Displays Premises in Espoo

Beneq is on the move! As of Thursday, June 12, 2014, Beneq’s facilities in Vantaa will join Lumineq Displays on its premises in Espoo, Finland.



Now for the first time, Beneq Thin Film Equipment and Beneq Lumineq Displays will be under the same roof, enabling the two complementary entities to take greater advantage from their synergies. The Espoo plant, the biggest concentration of ALD equipment in the world, will be the place that combines the past, present and future of ALD.

“We bring you our heritage from 30 years of continuous thin film production. Today, we are the world’s largest atomic layer deposition (ALD) stronghold with an offering that satisfies the most demanding of needs, be that research, industry or job coating. For the future, we will continue to pioneer the future of ALD for use in research, product development and industrial production,” says Sampo Ahonen, CEO, Beneq.

Monday, June 9, 2014

ALD Equipment comparasion chart by ALD Pulse

ALD Pulse has put together a fantastic chart comparing most of the R&D ALD equipment on the market today. Access through ALD Pulse here : ALD R&D EQUIPMENT CHART
 

ALD Pulse reports that "This chart will be subject to updates according to audience and each companies' feedback and input, more companies and criteria may be added in the future."
 

 
ALD R&D Equipment chart from 2014-06-14, please follow the link for the latest version : ALD R&D EQUIPMENT CHART

 

Saturday, June 7, 2014

WODIM 2014, the 18th Workshop on Dielectrics in Microelectronics, 9-11 June 2014 in Kinsale Cork Ireland.

Coming up next week - The 18th Workshop on Dielectrics in Microelectronics, which takes place from 9-11 June 2014 in Kinsale Co Cork Ireland. This event is hosted by Tyndall National Institute, UCC, Cork, and celebrates the 10th anniversary of the last time the workshop was held in Ireland.
 
 
The main objective of the workshop is to bring together specialists who work in the field of dielectrics and all aspects of their application in the field of micro and nanoelectronics. The forum is intended to provide an overview of the state of the art in this significant field, and to promote a relatively informal atmosphere for the discussion of the latest research results, where contributions from students are particularly encouraged. The workshop deals with a range of issues in the field of advanced and new dielectrics, such as: growth and deposition, modelling and simulation, physical and electrical properties, reliability and dielectric applications.
 
 
 Kinsale, Co Cork, Ireland, in one of the most beautiful coastal towns in Ireland.
 
One of the more interesting talks will be on Tuesday ;-)

09.40 “Fluorine Interface Treatments within the Gate Stack for Defect Passivation in 28nm HKMG Technology”

M. Drescher1, E. Erben2, M. Trentzsch2, C. Grass2, M. Hempel2, A. Naumann1, J. Sundqvist1, J. Schubert3, J. Szillinski3, A. Schäfer3, S. Mantl3

1 Fraunhofer IPMS-CNT, Königsbrückerstraße 180, 01099 Dresden, Germany, 2 Globalfoundries,
Wilschdorfer Landstraße 101, 01109 Dresden, Germany, 3 Forschungszentrum Jülich, Wilhelm-Johnen-Straße, 52428 Jülich, Germany
 

Wednesday, June 4, 2014

Missouri S&T is synthesizing multi-element ENPs for Single Particle ICPMS references using ALD

Missouri S&T is synthesizing multi-element ENPs for Single Particle ICPMS references using ALD. Missouri University of Science and Technology and Perklin Elmer reports : The growing use of nanoparticles in consumer projects has raised concerns about their adverse effects on human health and the environment. A new technology being tested at Missouri University of Science and Technology could improve the field of study by giving researchers a tool to quickly measure a wide range of characteristics and detect trace levels of nanoparticles.
 
 

The technology, Single Particle (SP) – Inductively Coupled Plasma (ICP) – Mass Spectrometry (MS), addresses one of the National Nanotechnology Initiative’s most urgent priorities, tracking the fate of engineered nanoparticles. The NNI was established by the U.S. government for the research and development of nanoscale projects.

International instrumentation company PerkinElmer installed its NexION 300/350D-ICP-MS on the Missouri S&T campus in February. The instrument, which measures nanoparticles 10 times faster than other ICP-MS on the market, is being used as part of a collaborative research project between PerkinElmer and Missouri S&T to develop SP-ICP-MS methods for characterizing novel engineered nanoparticles (ENP) and investigate their mechanisms and toxicity

Dr. Xinhua Liang, assistant professor of chemical and biochemical engineering at Missouri S&T, another member of the research team, is synthesizing multi-element ENPs as calibration and reference material using advanced atomic layer deposition (ALD) technology. ALD is best known for its ability to deposit high-quality thin films of materials based on alternating pulses of chemical vapors that react with surfaces. Liang is using the technology to deposit metal oxide films on the ENPs.
 
Read the full story here.

 

Sunday, June 1, 2014

In situ characterization of ALD processes and study of reaction mechanisms for high-k metal oxide formation

"In situ characterization of ALD processes and study of reaction mechanisms for high-k metal oxide formation" is a fresh doctoral thesis to be defended 6th of June 2014 in Helsinki Finland by Mr Yoann Tomczak at University of Helsinki, Faculty of Science, Department of Chemistry, Laboratory of Inorganic Chemistry. To learn more on in-situ studies by QCM and QMS I recommend to read the doctoral thesis by Antti Rahtu that can be downloaded here.
 
Precursors, processes and materials studied in this thesis.
 
Yoann Tomczak
University of Helsinki, Faculty of Science, Department of Chemistry, Laboratory of Inorganic Chemistry
Doctoral dissertation (article-based), http://urn.fi/URN:ISBN:978-952-10-9926-7
 
Atomic Layer Deposition (ALD) is a thin film deposition method allowing the growth of highly conformal films with atomic level thickness and composition precision. For most of the ALD processes developed, the reaction mechanisms occurring at each step of the deposition remain unclear. Learning more about these reactions would help to control and optimize the existing growth processes and develop new ones more quickly. For that purpose, in situ methods such as quartz crystal microbalance (QCM) and quadrupole mass spectrometer (QMS) are used. These techniques present numerous advantages because they allow monitoring the thin film growth mechanisms directly during the process. Additionally, they do not require separate experiments or large amounts of precursors to test the efficiency of new processes and could be very effective means to monitor industrial processes in real time.

This thesis explores the most common in situ analytical methods used to study ALD processes. A review on the ALD metal precursors possessing ligands with nitrogen bonded to the metal center and their reactivity is provided. The results section reports the reaction mechanisms of ALD processes for the deposition of Nb2O5, Ta2O5, Li2SiO3, TiO2 and ZrO2. All the processes studied are using metal precursors with nitrogen bonded ligands and ozone or water for the deposition of high-k and other oxide films.
 
This is a Finnish article-based doctoral dissertation, the scientiffic work is mainly reported in the form of published or soon to be published journal articles:
 
I. “In situ reaction mechanism studies on the new tBuN=M(NEt2)3 -Water and tBuN=M(NEt2)3 - Ozone (M=Nb,Ta) Atomic Layer Deposition processes.” 
Y. Tomczak, K. Knapas, M. Sundberg, M. Ritala, M. Leskelä 
Chem. Mater.(2012), 24(9), 1555-1561 

II. “In situ reaction mechanism studies on atomic layer deposition of AlxSiyOz from Y. Tomczak, K. Knapas, S. Haukka, M. Kemell, M. Heikkilä, M. Ceccato, M. Leskelä, M. Ritalatrimethylaluminium, hexakis ethylaminodisilane and water.”
Chem. Mater.(2012), 24(20), 3859-3867
III. “In situ reaction mechanism studies on lithium hexadimethyldisilazide and ozone atomic layer deposition process for lithium silicate.”
Y. Tomczak, K. Knapas, M. Sundberg, M. Leskelä, M. Ritala
Journal of Physical Chemistry C (2013), 117(27), 14241-14246
 
IV. “In situ reaction mechanism studies on the Ti(NMe2)2(OiPr)2-D2O and Ti(OiPr)3(NiPr-Me-amd)-D2O Atomic Layer Deposition processes”
Y. Tomczak, K. Knapas, M. Ritala, M. Leskelä
Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films (2014), 32(1), 01A121-01A121-7
V. “[Zr(NEtMe)2(guan-NEtMe)2] as a novel ALD precursor: ZrO2 film growth and mechanistic studies”
T. Blanquart, J. Niinistö, N. Aslam, M. Banerjee, Y. Tomczak, M. Gavagnin, V. Longo, E. Puukilainen, H.D. Wanzenböck, W.M.M. Kessels, A. Devi, S. Hoffmann-Eifert, M. Ritala, and M. Leskelä
Chem. Mater.(2013), 25(15), 3088-3095
 
VI. “Atomic layer deposition, characterization and growth mechanism of high quality TiO2 thin films”
VI. M. Kaipio, T. Blanquart, Y. Tomczak, J. Niinistö, M. Gavagnin, V. Longo, V. Pallem, C. Dussarrat, M. Ritala, M. Leskelä
submitted
 
 

How to build an ALD chamber for in situ x-ray diffraction

Stanford University present a ALD chamber for in-situ x-ray diffraction and scattering installed  at SLAC National Accelerator Laboratory, Stanford Synchrotron Radiation Lightsource. The  ALD chamber is designed for studying the structural properties of thin films during growth by high resolution XRD, GIXRD, and GISAXS. The ability to monitor the growth of an ALD material from nucleation to the formation of continuous films has been shown, and the precision to measure changes to the structure following single half-cycles has been demonstrated. According to the researchers, the design can also be adapted x-ray reflectivity (XRR) and x-ray absorption and fluorescence spectroscopy (XAFS). For all details please go ahead and access the all free content of the publication below.


The Stanford Synchrotron Radiation Lightsource (SSRL), a directorate of the SLAC National Accelerator Laboratory, is an Office of Science User Facility operated for the U.S. Department of Energy by Stanford University. SSRL provides synchrotron radiation, a name given to X-rays or light produced by electrons circulating in a storage ring at nearly the speed of light. These extremely bright X-rays can be used to investigate various forms of matter ranging from objects of atomic and molecular size to man-made materials with unusual properties. (news.slac.stanford.edu, Photo by Brad Plummer)

An atomic layer deposition chamber for in situ x-ray diffraction and scattering analysis
Scott M. Geyer, Rungthiwa Methaapanon, Richard W. Johnson, Woo-Hee Kim, Douglas G. Van Campen, Apurva Metha and Stacey F. Bent
Rev. Sci. Instrum. 85, 055116 (2014); http://dx.doi.org/10.1063/1.4876484

Abstract: The crystal structure of thin films grown by atomic layer deposition (ALD) will determine important performance properties such as conductivity, breakdown voltage, and catalytic activity. We report the design of an atomic layer deposition chamber for in situ x-ray analysis that can be used to monitor changes to the crystal structural during ALD. The application of the chamber is demonstrated for Pt ALD on amorphous SiO2 and SrTiO3 (001) using synchrotron-based high resolution x-ray diffraction, grazing incidence x-ray diffraction, and grazing incidence small angle scattering.
 
 
 
a) Cartoon depiction of the XRD chamber. (b) Depiction of the heater assembly with bridge mount and base plate. Citation: Rev. Sci. Instrum. 85, 055116 (2014); http://dx.doi.org/10.1063/1.4876484

Webinar: Use of ALD for MEMS and NEMS Applications by Oxford Instrument Plasma Technology


Use of Atomic Layer Deposition for MEMS and NEMS Applications
Wednesday, July 2, 2014 11:30 AM - 12:30 PM EDT
Presented by Dr. Harm Knoops, Technical Sales Specialist (ALD) at Oxford Instrument Plasma Technology
 
 
Atomic layer deposition (ALD) with its growth control and unique properties can be used to grow an increasing variety of films in complex structures. As MEMS and NEMS applications are becoming more advanced, this webinar will discuss important aspect of ALD and how they can be applied to MEMS. Mechanical properties that are important for MEMS, such as stress, will be discussed for thermal and plasma ALD. Several examples from the literature of how ALD films can be used in MEMS applications will be demonstrated and discussed.
 
 
Dr. Harm Knoops presenting at the High-k workshop organized by NaMLab in Dresden, March 2014.
 
Dr. Harm Knoops, Technical Sales Specialist (ALD) at Oxford Instrument Plasma Technology. Before his current position, Harm investigated the fundamentals and applications of atomic layer deposition (ALD) at the Eindhoven University of Technology.
 
To register, please click here.