Saturday, January 27, 2024

AlixLabs Celebrates Gold Sponsorship at ALD/ALE 2024 in Helsinki: Honoring Dr. Tuomo Suntola and Embracing Advances in Atomic Layer Etching

 We are proud to be Gold Sponsors of ALD/ALE 2024 in Helsinki, Finland . We look forward to contribute to the conference program and meet you in the exhibition. We especially look forward to join the celebration 50 Years of ALD and honor the inventor and Millennium Prize Winner 2018 Dr. Tuomo Suntola.


It is also a prime event for Atomic Layer Etching this year since our CTO Dr. Dmitry Suyatin and our Advisory Board Member Prof. Fred Roozeboom are co-chairing the ALE Conference!

Please visit The Conference Page for full details: https://ald2024.avs.org/





Thursday, January 25, 2024

Chipmetrics sichert sich 2,4 Mio. Euro Seed-Finanzierung für Produktinnovation und globale Expansion

24. Januar 2024 – Joensuu, Finnland - Chipmetrics Ltd, ein Technologieunternehmen im Bereich Atomic Layer Deposition (ALD) und Pionier in der Entwicklung von 3D-Prüfelementen mit ultrahohem Aspektverhältnis für die Vermessung von fortgeschrittenen Halbleiter- und Dünnschicht-Produktionsprozessen, hat erfolgreich eine Finanzierungsrunde in Höhe von 2,4 Millionen Euro abgeschlossen. Das internationale Investorenkonsortium wird vom High-Tech Gründerfonds (HTGF) und OCCIDENT aus Deutschland angeführt. Zu den weiteren Investoren zählen Innovestor, REDSTONE und BALD Engineering.


Gründungs- und Management-Team von Chipmetrics: v. l. n. r. Feng Gao (CTO), Pasi Hyttinen (CDO) und Mikko Utriainen (CEO) (Bild: Chipmetrics)

Die Investition bestätigt die bahnbrechenden Fortschritte von Chipmetrics im Bereich der auf PillarHall®-Chips basierenden Messtechnologie, die das Unternehmen an die Spitze der Konformitätsmessung in Materialabscheidungsprozessen gebracht hat. Die Finanzierung wird es Chipmetrics ermöglichen, die Produktentwicklung zu beschleunigen, die Produktionskapazität zu erhöhen und die Marktpräsenz mit dem bestehenden internationalen Kundenstamm auszubauen.

Mikko Utriainen, Gründer und CEO von Chipmetrics, unterstreicht die Bedeutung dieser Investition für die Innovationsbestrebungen des Unternehmens und seine globale Marktexpansion. „Diese Investition gibt unseren Wachstumsplänen und unserem technologischen Fortschritt weiteren Auftrieb. Wir sind entschlossen, unser Produktportfolio zu erweitern, unsere Messlösungen zu verfeinern und unser globales Vertriebsnetz zu stärken“, so Utriainen.

Olaf Joeressen, Senior Investment Manager beim HTGF, kommentiert: „Der Fokus des Teams auf innovative Lösungen für seine Kunden ist beeindruckend und ich freue mich auf weitere Produkt- und Serviceinnovationen von Chipmetrics!“

Simon Schild von Spannenberg, Investment Manager bei OCCIDENT, ergänzt: „Chipmetrics hat sich mit seinen innovativen PillarHall® Testchips bereits eine starke Position in der Dünnschichtprozesskontrolle aufgebaut. Die Produkte von Chipmetrics bieten eine einzigartige Präzision, sowie kosteneffiziente Messungen von komplexen 3D-Strukturen. Unser Investment unterstreicht das Vertrauen in das Chipmetrics-Team und in den Erfolg dieser hochinnovativen Technologie auf dem globalen Halbleitermarkt.“

Über Chipmetrics

Chipmetrics Oy entwickelt und liefert messtechnische Lösungen für Herstellungsprozesse in der Halbleiterindustrie. Das Unternehmen vertreibt innovative Messchips und Messdienstleistungen, deren Anwendungen sich auf die ALD-Technologie konzentrieren. Das Hauptprodukt des Unternehmens ist der PillarHall®-Messchip zur Messung der erzielbaren Konformität von Schichten in Dünnschicht-Produktionsprozessen. Das Unternehmen wurde 2019 gegründet und hat seinen Sitz in Joensuu, Finnland. Darüber hinaus hat das Unternehmen Mitarbeiter und Vertriebspartner in Japan, Südkorea, den USA und Deutschland.
Weitere Informationen finden Sie unter www.chipmetrics.com.

Über den High-Tech Gründerfonds  

Der Seedinvestor High-Tech Gründerfonds (HTGF) finanziert Technologie-Start-ups mit Wachstumspotential und hat seit 2005 mehr als 700 Start-ups begleitet. Mit dem Start des vierten Fonds hat der HTGF rund 1,4 Milliarden Euro under Management. Das Team aus erfahrenen Investment Managern und Start-up-Experten unterstützt die jungen Unternehmen mit Know-how, Unternehmergeist und Leidenschaft. Der Fokus liegt auf High-Tech Gründungen aus den Bereichen Digital-Tech, Industrial-Tech, Life Sciences, Chemie und angrenzende Geschäftsfelder. Rund 5 Milliarden Euro Kapital investierten externe Investoren bislang in mehr als 2.000 Folgefinanzierungsrunden in das HTGF-Portfolio. Außerdem hat der Fonds bereits Anteile an mehr als 170 Unternehmen erfolgreich verkauft.  Zu den Fondsinvestoren der Public-Private-Partnership zählen das Bundesministerium für Wirtschaft und Klimaschutz, die KfW Capital sowie 45 Unternehmen aus unterschiedlichsten Branchen. Mehr erfahren unter: www.htgf.de

Über OCCIDENT

OCCIDENT ist ein internationaler Venture Capital Investor mit Standorten in München und Zug, ist eigentümergeführt und investiert eigenes Vermögen. Der Anspruch ist, durch nachhaltige und sinnstiftende Investitionen einen positiven Beitrag für die Gesellschaft zu leisten. OCCIDENT investiert in innovative Deep Tech Startups mit exzellenten Technologien, breiten Anwendungsmöglichkeiten und dem Potential für Weiterentwicklung aus den Bereichen Lifesciences, Industrial Tech und Digital. OCCIDENT ist ein finanzstarker, unternehmerisch orientierter Partner und bietet vielseitige Unterstützung der Portfoliounternehmen durch ein kompetentes Expertenteam und die eigene Erfahrung als Entrepreneur.


Über Innovestor

Innovestor ist eine finnische Investmentgesellschaft, die sich auf Risikokapital und Immobilien konzentriert. Darüber hinaus bietet Innovestor Dienstleistungen im Bereich Corporate Venturing an. Das Unternehmen verwaltet derzeit sechs VC-Fonds mit einem Gesamtkapital von über 250 Millionen Euro. Mit fast 100 Wachstumsunternehmen in den Bereichen Technologie, Biowissenschaften und Gesundheit ist es eines der größten privaten Risikokapitalportfolios in den nordischen Ländern. Unser Ziel ist es, gutes Geld zu verdienen.


Über Redstone

Redstone, mit Hauptsitz in Berlin und Büros in Zürich und Helsinki ist eine europäische Venture-Capital-Gesellschaft, die ausgewählte Investmentstrategien verfolgt. Mit seinem datengesteuerten Ansatz entwickelt Redstone eine starke Branchenkompetenz und baut wertvolle Netzwerke für sein Portfolio auf.

Als einer der aktivsten Frühphaseninvestoren in Europa verwaltet Redstone ein vielfältiges Portfolio von über 80 Unternehmen und konzentriert sich auf Fintech, Industrial Tech, Built World, Social Impact und Quantum.

Kontakt:

Mikko Utriainen, CEO, Chipmetrics Ltd

E-Mail: mikko.utriainen@chipmetrics.com;

Tel: +358 10 348 1344

Chipmetrics Secures € 2.4 Million Seed Funding Round to Accelerate Product Innovation and Global Expansion.

24.01.2024– Joensuu, Finland: Chipmetrics Ltd, the Atomic Layer Deposition (ALD) technology company and a pioneer in 3D ultra-high aspect ratio test elements for metrology of semiconductor and advanced thin film material manufacturing, proudly announces the successful closure of a €2.4 million investment round. The international investor syndicate was led by High-Tech Gründerfonds (HTGF) and co-led by OCCIDENT from Germany. Other investors were Innovestor, REDSTONE and BALD Engineering. This substantial investment serves as a testament to Chipmetrics’ groundbreaking advancements in the PillarHall® metrology test chip technology, positioning the company as a frontrunner in conformality measurements in material deposition processes. The funds raised will be instrumental in accelerating Chipmetric’s product development efforts, scaling production capabilities, and expanding its market presence with the already existing international customer base.


Founders and management team of Chipmetrics Ltd. Persons in the picture, from left to right: Feng Gao (CTO), Pasi Hyttinen (CDO) and Mikko Utriainen (CEO).


Mikko Utriainen, the visionary founder & CEO of Chipmetrics, highlights the investment’s role in driving the company’s innovative pursuits and global market expansion. “This investment is a boost for our growth plans and technological advancements. We are committed to enhancing our product portfolio, refine our measurement solutions, and reinforce our global sales network ” Utriainen states.

Olaf Joeressen, Senior Investment Manager of HTGF comments: “The team’s focus on delivering innovative solutions to their customers is impressive, and I look forward to more product and service innovations delivered by Chipmetrics!”

Investment manager Simon Schild von Spannenberg from OCCIDENT continues: “Chipmetrics has already established a reputable position in thin film process development with its innovative PillarHall® Lateral High Aspect Ratio (LHAR) test chips. Chipmetrics’ products convince with their unique user interface, precision, speed, and cost-efficiency in the complex 3D measurements. Our investment reflects our confidence in the Chipmetrics’ team and the success of the highly innovative technology on the global semiconductor market.”

About Chipmetrics

Chipmetrics Oy develops and delivers metrology solutions for manufacturing processes for the semiconductor industry. The company’s business is based on the sale of innovative metrology chips and measurement services whose applications are focused on ALD technology. The company’s main product is the PillarHall® metrology chip for thin film process conformality measurement. The company was founded in 2019 and its head office is in Joensuu, Finland. In addition, the company has employees and sales partners in Japan, South Korea, the USA, and Germany.

For more information, visit www.chipmetrics.com.

About High-Tech Gründerfonds

The seed investor High-Tech Gründerfonds (HTGF) finances tech start-ups with growth potential and has supported more than 700 start-ups since 2005. With the launch of its fourth fund, HTGF now has about 1.4 billion euros under management. Its team of experienced investment managers and start-up experts support young companies with expertise, entrepreneurial spirit and passion. HTGF’s focus is on high-tech start-ups in the fields of digital tech, industrial tech, life sciences, chemistry and related business areas. To date, external investors have injected about 5 billion euros of capital into the HTGF portfolio via more than 2,000 follow-on financing rounds. In addition, HTGF has already successfully sold shares in more than 170 companies. Fund investors in this public-private partnership include the German Federal Ministry for Economic Affairs and Climate Action, KfW Capital and 45 companies from a wide range of industries.

For more information at www.htgf.de.

About OCCIDENT

OCCIDENT is an international venture capital investor with offices in Munich and Zug, is owner-managed and invests its own assets. Its mission is to make a positive contribution to society through sustainable and meaningful investments. OCCIDENT invests in innovative deep tech start-ups with excellent technologies, broad application possibilities and the potential for further development in the fields of life sciences, industrial tech and digital. OCCIDENT acts as a long-term enabler for highly innovative startups and visionary founders.


About Innovestor

Innovestor is a Finnish investment company focusing on venture capital and real estate. In addition, we offer corporate venturing services. The firm currently manages six VC funds with total capital of over €250 million. Consisting of almost 100 growth companies across multiple sectors of technology and life science & health, it represents one of the largest private venture-backed portfolios in the Nordics. Our mission is to make good money.


About Redstone

Redstone, headquartered in Berlin with offices in Zurich and Helsinki, is a European early-stage venture capital firm that pursues selected investment strategies. With its data-driven approach, Redstone develops strong sector expertise, building valuable networks for its portfolio. As one of Europe’s most active early-stage investors, Redstone manages a diverse portfolio of over 80 companies, focusing on Built World, Climate, Fintech, Industrial Tech, Social Impact, and Quantum.

Contact Information:

Mikko Utriainen, CEO, Chipmetrics Ltd


Tel: +358 10 348 1344



Tuesday, January 23, 2024

Significant Investment in ALD Technology for MicroLED & AR: Oxford Instruments' Pioneering Role

Oxford Instruments, a renowned name in the realm of technology, has recently made a significant contribution to the advancement of MicroLED and Augmented Reality (AR) devices. The company has supplied its state-of-the-art Atomic Layer Deposition (ALD) technology to a leading UK manufacturer. This move is set to revolutionize the way we experience consumer-immersive reality products and display devices.

The Rise of MicroLED and AR

The demand for wearable devices with compact form factors and high-definition displays is skyrocketing. This trend is driving extensive research and development in the industry. MicroLEDs stand out in this arena for their ability to offer incredibly small die pitch sizes (less than 10 µm), enabling the miniaturization of wearable display devices without compromising image resolution. However, as pitch sizes shrink, the challenges in manufacturing increase, particularly regarding the damage caused during mesa formation and isolation steps. This damage can significantly impede device performance.


Oxford Instruments' Breakthrough with Plasma ALD

At the 2023 International Conference on Nitride Semiconductors (ICNS) in Fukuoka, Japan, the spotlight was on Plasma ALD technology, provided by Oxford Instruments. This technology has been hailed for its ability to mitigate damage and substantially boost external quantum efficiency – a critical measure of material performance. The Plasma ALD technology from Oxford Instruments, especially their high-K passivation solution, is optimized for smaller dies, enhancing performance in devices like head-mounted displays for virtual reality and smartwatches.


Oxford Instruments' ASP tool for PEALD offers precise, plasma-enhanced thin-film deposition, ideal for semiconductor, photonics, and microLED applications.

Klaas Wisniewski, Oxford Instruments' Strategic Business Development Director, expressed excitement about their Plasma ALD technology's growing market presence. "Our low-damage Plasma ALD technology, especially on our 200 mm capable platform, has been instrumental in doubling the external quantum efficiency for some of our customers at ICNS," said Wisniewski.

Looking Forward: Photonics West 2024

Oxford Instruments is not resting on its laurels. As a leader in compound semiconductor processing equipment, the company is set to participate in Photonics West 2024 in San Francisco. There, Oxford Instruments will showcase its latest optoelectronics processes for augmented, virtual, and mixed reality, as well as quantum and data transfer applications critical for AI and machine learning. This event will be an excellent opportunity for industry professionals to learn how Oxford Instruments' etch, deposition, and Ion Beam process solutions can enhance their projects, ensuring higher efficiency and lower costs.




In conclusion, Oxford Instruments' investment in ALD technology for MicroLED and AR is a game changer, marking a significant step forward in the evolution of consumer electronics and immersive reality experiences.

Atomic Scale Innovation Workshop in Copenhagen

PIONEERING ATOMIC SCALE INNOVATION - FROM MATERIALS TO SCALABLE APPLICATIONS

Hosted by ATLANT 3D, this event is a gathering point for industry experts, researchers, and technical professionals, all focused on exploring the vast potential of Atomic Layer Deposition (ALD) and related technologies.

The future of manufacturing lies in precision and sustainability. At ATLANT3D, we are deeply committed to advancing the frontiers of atomic scale manufacturing, unlocking novel avenues for materials development and scalable applications. This conference is our call to arms, bringing together the brightest minds to push the boundaries of what’s possible.




Topics & Highlights:
• ALD's current market and applications in various industries.
• In-depth presentations on advanced materials innovation.
• Interactive discussions on the future of atomic layer processing.

Speakers:
• PROF. DR. Fred Roozeboom, UNIVERSITY OF TWENTE
Topic: “Atomic Layer Deposition: Its Evolution, Diverse Applications, and Future Prospects”.
• DR. Maksym Plakhotnyuk 🇺🇦, CEO & FOUNDER OF ATLANT 3D
Topic: “Atomic Layer Processing: Driving Global Innovation in Advanced Manufacturing”.
• PROF. DR. Erwin Kessels, EINDHOVEN UNIVERSITY OF TECHNOLOGY Topic: “Innovations in Plasma Processing for Nanoscale Fabrication”.
• DR. Mira Baraket, HEAD OF TECHNOLOGY R&D AT ATLANT 3D
Topic: “Advancements in 2D Materials Synthesis Through Atomic Layer Deposition”.
• DR. Alexander Kozen, UNIVERSITY OF VERMONT
Topic: “Progress and Opportunities for Atomic Layer Deposition to Facilitate Next-Generation Batteries”.
• PROF. DR. Julien Bachmann, FAU ERLANGEN-NÜRNBERG
Topic: “Harnessing ALD for Next-Generation Photovoltaics and Electrochemical Energy Storage”.
• DR. Jacques Kools, CEO & FOUNDER AT ENCAPSULIX
Topic: “ALD Deposited Ultrabarriers for Applications in Electronics, Energy, and Medical Fields”.
• DR. Ville Miikkulainen, ALTO UNIVERSITY
Topic: “Photo-assisted ALD: Enabler for Direct-Write ALD”.

Download brochure:

https://lnkd.in/eyUdPBXj

Special Features:
• Exclusive visit to ATLANT 3D's state-of-the-art A-HUB & Laboratory facilities.
• A social evening for informal networking and collaboration.

Saturday, January 20, 2024

Revolutionizing CPU Memory: How ReRAM is Shaping the Future of High-Bandwidth Applications

In the Semi Engineering article by Brett Lowe, "Developing ReRAM As Next Generation On-Chip Memory For Machine Learning, Image Processing And Other Advanced CPU Applications," the focus is on the burgeoning field of Resistive Random Access Memory (ReRAM) as a promising solution for the limitations of current on-chip CPU memory. The article highlights the inefficiencies in modern CPU operations, primarily due to the energy consumption and delays caused by data transfer between the CPU and off-chip memory. SRAM, the prevalent on-chip memory, falls short in meeting the requirements of advanced applications like AI and 8K video processing, which demand memory access bandwidths up to 10 terabytes per second. ReRAM emerges as a viable alternative, boasting a non-volatile memory cell structure that uses memristor materials to enable data storage. This technology facilitates significant improvements in storage density by employing a 3D stacking approach.



The article delves into the intricacies of ReRAM's structure and functionality, utilizing SEMulator3D Virtual Fabrication for process simulation and architectural visualization. The discussed 3D ReRAM model comprises multiple layers of wordlines and memristors, strategically organized for optimized storage density. The programming of memristors in ReRAM, requiring low current and voltage, aligns well with the integration into advanced logic devices. The piece concludes with the assertion that ReRAM stands as a robust contender to replace SRAM in on-chip memory, particularly for high-bandwidth CPU applications. Its potential to significantly reduce energy consumption and processing delays in data movement positions ReRAM as a pivotal innovation for future CPU developments.

Unveiling the Future of Material Science: Key Takeaways from the MLD and ALD Webinar

In the dynamic world of material science, the recent Applied Materials Picosun webinar held on January 16, 2024 centered on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), offered a deep dive into these groundbreaking technologies and their applications in crafting advanced functional properties. 

LINK to recording: Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)

The webinar was given by Topias Jussila, Doctoral Researcher, Aalto University, Finland. Let's explore how ALD and MLD are shaping the future of materials at the nanoscale.

The Emergence of MLD

Molecular Layer Deposition, though a relative newcomer compared to ALD, has quickly garnered attention for its unique capabilities. MLD, which operates on the principle of sequential molecular layering, offers a versatile platform for creating hybrid materials with tailored properties. The webinar expertly delineated the different types of MLD, such as metal-aliphatics, metal-aromatics, and inorganic-organic multilayers, each presenting its distinct advantages in material fabrication.

 


Synergy of ALD and MLD

The fusion of ALD with MLD emerged as a focal point of discussion. This combination enhances the material properties, allowing for precise control at the nanoscale. The synergy of ALD and MLD opens doors to innovative applications, particularly in microelectronics and nanotechnology, by creating materials with unprecedented electrical, optical, and mechanical properties.

 


Applications That Reshape Industries

The practical applications of MLD and ALD-MLD are vast and varied. Key areas include:

Flexible Barrier Layers: MLD is particularly effective in creating ultra-thin, flexible barrier layers that are impermeable to gases and moisture. This is crucial for applications like organic light-emitting diode (OLED) displays and flexible electronics, where moisture and oxygen can degrade the performance of the devices.

Encapsulation: MLD provides an excellent method for encapsulating sensitive components, protecting them from environmental factors without compromising their functionality.

Photocatalysis: MLD materials are used in photocatalysis applications, which are important in environmental remediation and energy conversion technologies.

Electronics and Semiconductors: The combination of MLD with ALD is particularly advantageous in the electronics and semiconductor industries. It enables the precise deposition of thin films with tailored electrical and optical properties, crucial for advanced microelectronics and photonics.

Biomedical Applications: The versatility of MLD and ALD-MLD coatings also finds applications in the biomedical field, such as in drug delivery systems and bioimaging, where biocompatibility and controlled interactions with biological environments are essential.

Industrialization and Future Outlook

As for the industrialization of MLD, it is a relatively newer field compared to ALD. While ALD has been widely industrialized, particularly in the semiconductor industry, MLD is still primarily in the research and development stage, with growing interest in transitioning to industrial applications. The unique capabilities of MLD in creating organic-inorganic hybrid materials are driving research and potential industrial applications, but widespread industrial adoption might still be in progress.

Conclusion

The ALD and MLD webinar served as a beacon of knowledge, shedding light on the latest advancements and future prospects of these technologies. As we step into an era where material science plays a critical role in technological advancements, the insights from this webinar not only educate but also inspire further exploration and innovation in the field. The future of material science, undoubtedly, holds exciting possibilities, with ALD and MLD at its forefront.


Background: Topias Jussila is a second year PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. Topias carried out his Bachelor’s degree in Chemistry at the University of Helsinki and Master’s degree in Functional Materials at Aalto University. For the past two years, Topias has worked intensively with atomic layer deposition (ALD) and molecular layer deposition (MLD) with a target to develop novel thin film materials with advanced functional properties, having the main focus in iron-based inorganic and inorganic-organic materials. In addition to deposition process development, he has employed a wide range of thin film characterization methods to study the composition, structure, and functional properties of the thin films.

Friday, January 12, 2024

Onsemi Awards AIXTRON for Outstanding Contribution to SiC Fab Productivity in South Korea

Onsemi, a key player in the semiconductor industry, has recognized AIXTRON with a supplier award for its significant contribution to the rapid production ramp-up and productivity increase at onsemi's large silicon carbide (SiC) fabrication facility in South Korea. The facility, one of the world's largest SiC fabs, has benefited from the integration of AIXTRON's new G10-SiC systems. onsemi's successful collaboration with AIXTRON in tool installation and optimization led to substantial improvements in tool operations and maintenance, resulting in greater uptime and higher wafer output. The award from onsemi, a leading manufacturer in the semiconductor sector, highlights AIXTRON's service excellence and the impact of their technology in advancing onsemi's production capabilities.



RayNeo Partners with Qualcomm and Applied Materials to Develop Next-Gen AI-Enabled AR Glasses

RayNeo, a leading company in consumer-grade augmented reality (AR) innovation, has announced a collaboration with Qualcomm Technologies, Inc. and Applied Materials, Inc. to develop a new generation of AI-enabled AR glasses. This collaboration aims to redefine the future of AR glasses by combining the expertise of these technology leaders.


The project will utilize Qualcomm Technologies' Snapdragon® AR1 Gen 1 Platform and Applied Materials' lightweight full-color waveguides, along with RayNeo's comprehensive hardware and software ecosystem. The Snapdragon AR1 Gen 1 is a purpose-built platform designed for AI glasses, offering features such as photo and video capture, support for binocular displays, and on-device AI. This platform is expected to create AI glasses that blend technology with style.



A key focus of the collaboration is the integration of Applied Materials' Photonics platform. Dr. Paul Meissner, Vice President and GM of Applied Materials' Photonics Platforms Business in the Office of the CTO, emphasized their excitement to collaborate with RayNeo and Qualcomm Technologies. Applied Materials' cutting-edge waveguide technology, leveraging their expertise in materials engineering, aims to provide high-quality AR experiences. This technology is a significant step towards creating AR glasses that are thin, lightweight, and suitable for everyday wear.

The collaboration's goal is to develop AI-powered AR glasses that offer astonishingly realistic AR experiences to consumers worldwide. The partnership is set to transform how users perceive and interact with the digital world, making AR glasses a new exciting AI platform for consumers. RayNeo's background in AR innovation and achievements, including launching the world's first full-color MicroLED optical waveguide AR glasses, positions them as a key player in this endeavor.

About RayNeo

RayNeo™, incubated by TCL Electronics (1070.HK), is an industry leader in consumer-grade AR innovation, developing some of the world's most revolutionary AR consumer-grade hardware, software and applications. RayNeo specializes in the research and development of AR technologies with industry-leading optics, display, algorithm and device manufacturing.

Established in 2021, RayNeo has launched the world's first full-color MicroLED optical waveguide AR glasses, achieving several technology breakthroughs in the industry. Alongside winning the "Best Connected Consumer Device" at MWC's Global Mobile Awards (GLOMO) 2023 with NXTWEAR S, RayNeo also developed the innovation consumer XR wearable glasses, RayNeo Air 2, featuring top-tier, cinematic audiovisual experiences with ultimate comfort.

Wednesday, January 10, 2024

NCD supplied repeated ALD equipment for special protective coating

NCD Co., Ltd. has again supplied large-scale productive ALD equipment to a Korean customer. It is for special coating parts used in semiconductor equipment to protect from corrosion and plasma arcing. This contracted equipment is the improved Lucida GSH Series ALD, which is capable of coating more and heavier products at once than the existing equipment. So the customer is able to obtain the greater increased productivity and reduced coating costs.

As semiconductor devices become smaller and more integrated, particles and byproducts that were not a problem before can significantly affect device performance, so the cleaning cycle and life time of parts used in semiconductor equipment are becoming shorter.



Lucida GSH Series ALD

Therefore, atomic layer deposition protective coating on parts can be a very effective solution, and high-quality, uniform atomic layer coating can achieve the effect of increasing the usage time of expensive parts in semiconductor equipment without cleaning and replacement.

Previously, atomic layer deposition protective coating was widely applied to expensive parts such as showerheads and ESCs, but recently, it has been applied to various semiconductor equipment parts with complex gas paths, quartz products with complicated shapes, and high-purity precursor canisters that require the lowest impurity control. The scope of application is gradually increasing so we will look forward to steady and continued expansion of products and markets in this application field.

This repeated contract confirms once again the excellence of NCD's industrial atomic layer deposition technology and equipment to its customers, and it showed NCD to have occupied the leader on the gradually expanding market of atomic layer deposition equipment for part protection coating. NCD will continue to pioneer new atomic layer deposition markets based on its best technology and customer trust.

Monday, January 8, 2024

Intel Receives ASML's First High-NA EUV Lithography Scanner, Pioneering Next-Gen Semiconductor Manufacturing

ASML has delivered its groundbreaking High-NA EUV lithography scanner, the Twinscan EXE:5000, to Intel Oregon. Marking a significant technological leap, this first-of-its-kind scanner boasts a 0.55 NA lens, enabling 8nm resolution for advanced semiconductor manufacturing. Designed for process technologies beyond 3nm, it promises to enhance chip production efficiency and reduce costs. Intel's early adoption of this state-of-the-art equipment, valued between $300-$400 million, positions them at the forefront of the industry, potentially setting new standards in High-NA manufacturing. This development represents a major milestone in semiconductor technology, signaling a new era of innovation and capability in chip production.