Showing posts with label AlixLabs. Show all posts
Showing posts with label AlixLabs. Show all posts

Saturday, January 27, 2024

AlixLabs Celebrates Gold Sponsorship at ALD/ALE 2024 in Helsinki: Honoring Dr. Tuomo Suntola and Embracing Advances in Atomic Layer Etching

 We are proud to be Gold Sponsors of ALD/ALE 2024 in Helsinki, Finland . We look forward to contribute to the conference program and meet you in the exhibition. We especially look forward to join the celebration 50 Years of ALD and honor the inventor and Millennium Prize Winner 2018 Dr. Tuomo Suntola.


It is also a prime event for Atomic Layer Etching this year since our CTO Dr. Dmitry Suyatin and our Advisory Board Member Prof. Fred Roozeboom are co-chairing the ALE Conference!

Please visit The Conference Page for full details: https://ald2024.avs.org/





Saturday, February 18, 2023

ALD Stories Ep.20 - The story behind AlixLabs and ALE Pitch Splitting

Jonas Sundqvist joined the ALD Stories podcast again to talk about his company, AlixLabs! Check out their Atomic Layer Etch Pitch Splitting tech and how it challenges traditional patterning techniques.


Spotify - https://lnkd.in/djrMbZ-v
Apple - https://lnkd.in/d93sW3JK



Monday, September 26, 2022

AlixLabs proudly announce its Advisory Board

AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture in high-volume semiconductor wafer fabrication and can open up a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with more manageable wafer fab equipment investments.

The company is pleased to announce an Advisory Board with long-term semiconductor industry and business experts and academic leadership. In a statement from Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, he said, "Our Advisory Board adds a new level of engagement with the semiconductor industry and leading research centers needed to transfer the APS technology into high volume manufacturing. Besides strategic business decisions, we must build an ecosystem around our disruptive patterning technology. With their support, we will be able to deeply engage the semiconductor ecosystem on all levels and in all supply sectors, from materials and equipment suppliers to the wafer fabs and recognized R&D labs and institutes in this amazing industry".



Lita Shon-Roy – President/CEO and Founder of TECHCET—has worked throughout the semiconductor supply chain, leading strategy, business development, marketing, and sales for chip designers, equipment OEMs, and material suppliers for over 30 years. Her experience spans from process development of SRAMs to business development of gases & precursors. She developed new business opportunities for companies such as RASIRC/Matheson Gases, Air Products & Chemicals, and IPEC/Speedfam, and managed marketing and sales in companies such as Air Products/Schumacher, Brooktree/Rockwell, and Hughes Aircraft. Ms. Shon-Roy is considered one of the leading experts in electronic materials market analysis and business development. She has authored and co-authored 100’s of articles, reports, and texts on semiconductor process materials markets, trends, and worldwide supply chain issues. She holds an Masters Business Administration (MBA) from California State University, Dominguez Hills, a Master of Science (MS) in Electrical Engineering with a specialty in Solid State Physics from the University of Southern California, and a Bachelor of Science (BS) in Chemical Engineering from UC San Diego.

Prof. dr. Fred Roozeboom is emeritus/guest professor in the Inorganic Membranes group at the University of Twente and consultant to the high-tech industry. From 2007 until Dec. 2021 he was a part-time, full professor at TU Eindhoven in the group Plasma & Materials Processing, and from 2009-2021 he was Senior Technical Advisor at TNO Holst Centre, Eindhoven, aiming at new applications in Atomic Layer Deposition and Etching, area-selective ALD, Li-ion micro-batteries, and EUV optical lifetime. From Sept. 2021 - Sept. 2022 he was a Research Fellow at LionVolt, a start-up, working on pilot line production of 3D thin-film Li-batteries on metal foil. Fred is co-/author of >200 publications (h-index 42), 5 book chapters, 39 granted US patents, co-/editor of 51 conference proceedings on semiconductor & microsystems processing, and executive
editor of open access journal Atomic Layer Deposition. He was or is active in conference committees for the Materials Research Society, Electrochemical Society, American Vacuum Society, IEEE, DPS-Japan, and SEMI Europe Semiconductor Technology Programs Committee.

Dr Jacques Kools has over 35 years of experience in nanotechnology R&D, focusing on capital equipment and process for vacuum etch and deposition processes. He started his career at Philips Research, Eindhoven, The Netherlands, working on reactive ion beam and laser etch processes and magnetic materials. He worked in various roles in the semiconductor equipment industry in Silicon Valley, most recently as Vice President of Technology and Director of Strategic Marketing at Veeco Instruments (NASDAQ: VECO). His current position is CEO and founder of Encapsulix, a supplier of Atomic Layer Deposition ( ALD) equipment and process technology. Dr. Kools holds a Ph.D. from the Eindhoven University of Technology. He has published extensively with more than 100 refereed papers, including more than 10 invited reviews and more than 20 US patents (h index of 30 and i10 index of 60).

Thursday, September 15, 2022

AlixLabs AB today announced that the company has been granted a second patent in the USA relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

MON, SEP 12, 2022 21:19 CET. AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

The company is now pleased to announce having been granted a second patent in the USA. USA is one of the most important markets for leading-edge semiconductor manufacturing, a huge industrial and consumer market for the most advanced electronic products and hence crucial for AlixLabs to protect its innovative APS process by IP.

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted the first US and Taiwan patent, we are now delighted to announce that our second US patent has also been granted and that we have more patent applications in the pipeline".


AlixLabs: Amin Karimi, Reza Jafari Jam, Yoana Ilarionova, Jonas Sundqvist and Dmitry Suyatin.

Dr. Amin Karimi, R&D and Operation Manager, added, "I am delighted to add that ALixLabs has been finalized a 1 Million SEK Vinnova funded project to verify the APS technology in the fabrication and electrical characterization of nanowire test transistor architectures this summer". Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, concluded that "The strategy as we advance is to successfully transfer the APS technology to 300 mm wafer processing and making it readily available for process demonstration for the leading IDMs & Foundries. We don't only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gasses during chip manufacturing considerably. This is not by itself a Green Fab Technology. However, it takes one small step in the right direction."

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021 and now the second patnet (US11424130) on August 23, 2022. The patents covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com.

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Monday, July 11, 2022

AlixLabs at AVS ALD ALE 2022 in Ghent, Belgium

AlixLabs AB participated and presented at The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) featuring the 9th International Atomic Layer Etching Workshop (ALE 2022) in Ghent, Belgium June 27 to 29.

Yoana gave her oral presentation in session LE1-TuA-4 In-situ Optical Emission Spectroscopy as a Tool to Characterize Cyclic Quasi-Atomic Layer Etching, Yoana Ilarionova, Lund University, Sweden; M. Karimi, AlixLabs, Sweden; D. Lishan, D. Geerpuram, Plasma-Therm LLC, USA; R. Jafari Jam, D. Suyatin, J. Sundqvist, AlixLabs, Sweden; I. Maximov, Lund University, Sweden

Jonas gave an invited talk for TECHCET LLC CA in: AA2-TuM2-1 High ALD Equipment and Precursor Demand and 5-Year Forecast Due to Continued Semiconductor Device Scaling and Fab Expansions.

Dmitry as part of the AVS ALE Scientific Committee moderated the session ALE1-TuA In situ Studies, Mechanisms, and Modeling of ALE. We had a fantastic time and met a lot of old friends and made new ones!


Team photo: Reza Jafari Jam, Jonas Sundqvist, Yoana Ilarionova and Dmitry Suyatin.


Reza and Yoana taking on the ALD / ALE industrial exhibition.


Ghent by night, view from the Beneq party in the main castle - Gravensteen.


Dinner in a Castle.

Boat trip in beautiful Ghent


New slim line of MFCs and Valves from Fujikin.


Dmitry and Jonas met up with AlixLabs' long-time supporters Prof. Fred Roozeboom and Dr. Jacques Kools.


Yoana getting questions from Prof. Steven .M. Geroge himself.


Yoanas title slide.


ALE - the icing on the cake


Angélique Raley from Tokyo Electron USA giving the best ALE talk!


AlixLabs sponsored the Social Media Chair 2022 - please check Twitter by the Social Media Chairs @Mick__geek and @hacp81
 for more famous ALD and ALE people 


AVS ALD ALE 2022 Page: ald2022.avs.org/

Thursday, November 11, 2021

AlixLabs, Breaking through the Crisis – Startups

While the world is dealing with the semiconductor shortage, startups are gearing up to fill in the gap with their innovative offerings to ensure that crisis doesn’t last long. In this interview, the co-founder and CEO of AlixLabs, Dr. Jonas Sundqvist discusses about what led us to this crisis, how to fix it and what role startups have in ensuring interrupted supply of silicon. He also discusses about what next when this crisis is fixed? Is there another crisis that may arise?



Tuesday, October 12, 2021

AlixLabs Granted Patent in Taiwan - The Unmatched Leader of the Global Semiconductor Industry

TUE, OCT 12, 2021 21:01 CET -- AlixLabs AB today announced that the company has been granted a patent in Taiwan relating to Atomic Layer Etch Pitch Splitting (APS)

AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronics. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently, which means that smaller production facilities in, for example, Europe will be able to manufacture the components with manageable investments. Today, basically all production of leading-edge semiconductors takes place in the USA and Asia, and mainly in Taiwan and South Korea.

The company is now pleased to announce the first granted patent in Tawan. Taiwan is one of the most important markets for leading-edge semiconductor manufacturing and hence crucial for AlixLabs to protect its innovative APS process by IP. The Taiwanese semiconductor industry, including IC manufacturing, design, and packing, forms a significant part of Taiwan's IT industry. Due to its strong capabilities in fab wafer manufacturing and a complete industry supply chain, Taiwan has distinguished itself from its competitors and dominate the global marketplace. Taiwan is the unmatched leader of the worldwide semiconductor industry, with Taiwan Semiconductor Manufacturing Company (TSMC) alone accounting for more than 50% of the global market. In 2020, the sector accounted for US$115 billion in output. Recently, Terry Tsao (曹世綸), global chief marketing officer and Taiwan president of SEMI, said the country's semiconductor equipment spending is expected to continue to grow in 2022 and replace South Korea as the world's largest market next year.**

As previously announced (September 28, 2021), Almi Invest and private investors, including NHL professionals Michael, Alexander and William Nylander, are participating in the issue for a total of SEK 9 million (USD 1 million) investment in AlixLabs. The funds will be used for product development and validation of the APS technology.

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted US patent, we are now delighted to announce that our Taiwan patent has also been granted and that we have more patent applications in the pipeline".


The Diploma to AlixLabs for the recently Granted Patent (TW I739812) in Taiwan issued by The Taiwan Intellectual Property Office (TIPO, 經濟部智慧財產局)

Dr. Mohammad Karimi, R&D and Operation Manager, added, "I am delighted that ALixLabs has been granted a 1 Million SEK project to verify the APS technology in the fabrication of FinFET and GAA-FET transistor architectures by Vinnova in June 2021".. Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, concluded that "The strategy as we advance is to successfully transfer the APS technology to 300 mm wafer processing in Dresden, Germany and making it readily available for process demonstration for the leading IDMs & Foundries. We don't only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gasses during chip manufacturing considerably. This is not by itself a Green Fab Technology. However, it takes one small step in the right direction."

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).
** Wikipedia
*** September 15, 2021-Hsinchu News, SEMI (International Semiconductor Industry Association) https://www.semi.org/zh/world_fab_forecast_fabuctor Industry Association) https://www.semi.org/zh/world_fab_forecast_fab

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Saturday, October 2, 2021

Swedish Semiconductor Startup AlixLabs Raises USD 1 Million Seed Capital

TUE, SEP 28, 2021 00:01 CET, Almi Invest is investing close to SEK 3 million in AlixLabs, which is developing a new method for manufacturing semiconductor components cheaper and faster. Private investors, including NHL professionals Michael, Alexander and William Nylander, are also participating in the issue for a total of SEK 9 million (USD 1 million). The funds will be used for product development and validation of the APS-technology.

Semiconductor components build up the computer chips found in virtually all electronic products, such as smartphones, servers, game consoles, computers and cars. They can be described as the brain and memory that make electronic products work.Today's semiconductor components are extremely small, making them more difficult and expensive to manufacture. The industry is working to cope with this challenge through new and innovative approaches. Common to these new methods is that they are complex and in most cases extremely expensive.

Now AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, which eliminates several steps in the manufacturing process - Atomic Layer Etch Pitchsplitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronics. The method also makes it possible to manufacture extremely small semiconductor components in an accurate and efficient way, which means that also smaller production facilities in, for example, Europe will be able to manufacture the components with manageable investments. Today, basically all production of leading edge semiconductors takes place in the USA and Asia, mainly in Taiwan and South Korea.


Dr. Jonas Sundqvist, CEO of AlixLabs AB.

- AlixLab's disruptive manufacturing method has enormous market potential and enables continued technology development and more powerful electronics that are at the same time much more resource-efficient, says Anna Gisselsson, Investment Manager at Almi Invest.The company's method is covered by an approved patent in the United States* and it has additional patent applications in other countries.

- Thanks to this investment, we can take the company to the next level, says Dr. Jonas Sundqvist, CEO of AlixLabs. Today, we have a number of ongoing negotiations with R&D actors, equipment and semiconductor manufacturers to create a basis for further cooperation for the development of our products. In the longer term, we want to create an ecosystem covering the complete value chain for APS and we hope to have a strong base in Europe with a global reach.

.- The R&D from these funds will allow us to strenghten and expand our IP portfolio for our properitary APS-technology, says Dr. Dmitry Suyatin, CTO of AlixLabs.


From left to right, Dr. Dmitry Suyatin, CEO, Dr. Mohammad Karimi R&D and Operations Manager, and Dr. Jonas Sundqvist, CEO.


AlixLabs Board of directors: https://www.alixlabs.com/who-we-are

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30th, 2021) The US Patent Office has approved AlixLabs’s patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method has the potential to have a big impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Saturday, June 12, 2021

Vinova fund Swedish AlixLabs Breakthrough green technology in Nanostructures Miniaturization for Electronic Chips

Vinnova has decided to grant AlixLabs application to Innovative Startups step 2 "Breakthrough green technology in Nanostructures Miniaturization for Electronic Chips" in the spring of 2021. 140 applications were received for the call, of which 35 were given grants. The assessment is based on a weighting of the six main criteria Relevance, Potential, Team, Implementation, Sustainability, and Gender Equality. The applications have been assessed in competition with each other. AlixLabs application was judged to meet the criteria to a great extent. 

AlixLabs aim to validate our breakthrough green technology for nanofabrication of nanostructures for applications in electronic chips. It is to demonstrate that Alixlabs' method is technically viable for the production of low dimensional transistors down to 2 nm node size, in line with the newly designed European Flagship "A European Initiative on Processors and semiconductor technologies" (LINK) to develop next-generation chips and 2 nm technology with €146.5 B, supported by 22 EU members. This demonstration will minimize the risks for AlixLabs entering the semiconductor industry market and ecosystem.



Miniaturization of electronic components, known as Moore's law, is fundamental to the entire IT explosion leading to the fast processing of data. Production of sub 10 nm chips requires advanced equipment such as extreme UV lithography (EUVL) tools, costing over €100 million, not affordable to all manufacturing companies or adding extreme investment cost for those companies still in the scaling race. Our innovative patented technology (WO2017157902A1) enables miniaturization without requiring or reducing the number of process steps using costly EUVL. This way, less financially powerful manufacturers (fabs) can get back to semiconductor production chains on level terms with large competitors from the USA and Asia. Our technology uses Atomic Layer Etching (ALE) for pitch splitting of nanostructures, which allows for efficient and high-volume nanopatterning and offers to reduce operating cost up to 35 - 50% and energy use and greenhouse emissions by 25 - 50% per Lithography mask layer requiring advanced Immersion base multiple patterning technology or EUVL single and double exposure.

BREAKTHROUGH DEVELOPMENTS

We envision two breakthrough developments in this project:

(1) Application of ALE pitch splitting nanofabrication for electronic chip manufacturing down to 2 nm Foundry node size
(2) Demonstration of first transistors produced by ALE pitch splitting

Vinnova is the Swedish government agency that administers state funding for research and development. The agency's mission as defined by the government is to promote the development of efficient and innovative Swedish systems within the areas of technology, transportation, communication and labour.

About AlixLabs AB:

AlixLabs (www.alixlabs.com) is an innovative startup enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Background Information:





Thursday, May 13, 2021

Schweden wollen mit Ätz-Spalttechnik Chipproduktion in Sachsen umkrempeln

Alix Labs aus Lund testet Verfahren nun im Silicon Saxony, berichtet Heiko Weckbrodt bei Oiger.de


Lund/Bannewitz, 13. Mai 2021. Ingenieure aus Schweden und Sachsen wollen gemeinsam die Mikroelektronik-Produktion umkrempeln. Dafür hat das schwedische Technologie-Unternehmen „Alix Labs“ ein Verfahren entwickelt, das die Produktion neuester Computerchips mit Strukturgrößen unterhalb von zehn Nanometern (Millionstel Millimeter) stark vereinfachen und verbilligen soll. Dabei geht es auch darum, den Einsatz teurer Belichtungsanlagen mit „Extremer Ultraviolett-Strahlung“ (EUV) zu vermeiden, die etwa 120 Millionen Euro pro Maschine kosten und für die es weltweit nur eine Quelle gibt: ASML aus den Niederlanden. Um auf alternativen Wegen feinste Chipstrukturen zu erzeugen, setzen die Schweden auf eine „Pitch-Splitting-Methode“ (APS) mittels Atomlagen-Ätzen (Atomic Layer Etching, abgekürzt ALE). „Plasway Technologies“ aus Bannewitz in Dresden transferiert dieses Verfahren nun in einen industrienahen Maßstab auf 300 Millimeter großen Siliziumscheiben (Wafer).
Weiterlesen: LINK


„In Schweden haben wir keine 300-Millimeter-Infrastruktur“, erklärt „Alix Labs“-Chef Jonas Sundqvist die Kooperation mit den Sachsen. „Unsere Technologie kann in bestehende Prozessabläufe der Halbleiterherstellung integriert werden. Theoretisch könnten Chipfabriken wie die Globalfoundries-Fab 1 in Dresden unsere Methode einführen und dann 10- oder 7-Nanometer-Chips herstellen ohne teure EUV-Anlagen.“ Als Kunden sieht er aber auch Branchenriesen wie Intel, TSMC und Samsung.

Friday, April 30, 2021

The US Patent Office has approved AlixLabs’ patent application for nanofabrication by ALE Pitch Splitting (APS)

(30 April 2021, Lund Sweden). The US Patent Office has approved AlixLabs’ (AlixLabs AB) patent application for nanofabrication by ALE Pitch Splitting (APS).

The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method has the potential to have a big impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as directed self-assembly (DSA).

In direct comparison to mentioned more complicated and expensive methods, APS may cut the need for certain fab equipment investments considerably, reduce manufacturing cost and energy consumption as well as reduce greenhouse gas emission during the patterning processing by up to 50%, allowing greener and affordable way forward for the semiconductor industry.

AlixLabs aims at applications for the manufacturing of leading-edge sub 5nm Logic Devices and Memory Chips that are used for everyday consumer electronic devices, 5G and AI.

The company’s CEO Dr. Jonas Sundqvist comments:

After founding the company in 2019 we now move into very exciting times. The team has been expanded with Dr. Mohammad Karimi as Principal Scientist and we have several applications and projects in the pipeline for broadening our patent protection and creating further opportunities for commercial agreements starting now. Currently, we are taking on the first round of private investments and will expand operations for both core activities in Lund, Sweden, at NanoLund and Lund Nano Lab, and the IDEON Science Park in Sweden. In addition, we are heading to the heart of the European semiconductor industry in Dresden Germany for a lab to fab transfer to 300 mm silicon wafer process verification to get ready for customer demonstrations of APS.

The company’s CTO Dr. Dmitry Suyatin comments:

This patent is built on a surprising discovery by the inventors, which took place at Lund Nano Lab during the Master project by Dr. Sabbir A. Khan who has recently received his PhD from the University of Copenhagen and now continues his postdoctoral work at Niels Bohr Institute in Copenhagen.

About AlixLabs AB:

AlixLabs (www.alixlabs.com) is an innovative startup enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Background Information:



Picture:



Thursday, March 18, 2021

AlixLabs AB launched ALE Pitch Splitting (APS) for the first time at ALD & ALE Ireland 2016

We did not want to steal the thunder from our good Irish friends and St. Patrick Day we wish you all a healthy recovery today and breakfast for Champions. Yesterday we remembered our trip to Dublin and launching our proprietary technology, APS - ALE Pitch Splitting, for the first time to a bigger audience. Since then a lot of things has happened at AlixLabs AB in Lund, Sweden:

  • We received soft funding and invest support to found the company by LU Holding (LINK) - AlixLabs AB in Lund, Sweden operating out of IDEON Science park (LINK) and Lund Nano lab at Lund University
  • We received SwedishVinnova grant for "Innovative startups" 93 startup-bolag får dela på 28 miljoner | Vinnova
  • We hired our first Full-time employee Dr. Mohammad Karim, Principal Scientist (LINK)
  • We signed an agreement to use the lab facilities of Sweden’s largest research environment for nanoscience and nanotechnology - Lund Nano Lab (LINK)
  • We applied for an Additional 2.7 MSEK EU and National Swedish Soft co-funding in early 2021 and have additional 3 projects in the pipeline.
  • We have started our 1st Investment round to close before Swedish Midsummer - please get in touch if you want a meeting! (jonas@alixlabs.com or LinkedIn: LINK)


ALE Pitch Splitting (APS) taking place at the Lund Nano Lab exhibition stand in the ALD Ireland 2016 Industry Exhibition.

Video from presentation invited given by Dr. Dmitry Suyatin LINK. Staff Engineer at Lund Nano Lab and CTO of AlixLabs AB and on the Scientific Committee of AVS ALE.


A possible starting point of ALE Pitch Splitting explained best using Guinness Beer Barrels.


Prof. Samuelson from Lund University opening ALD Ireland 2016


Dr. Simon Elliott, main Chair of the Conference, teaching us how to tap a Guinness with a perfect solid head. Then at Tyndall National Institute and now Director of  Atomic Level Simulation at Schrödinger.


Dr. Dmitry Suyatin AlixLabs to be CTO at the Poster Session after his invited talk on ALE Pitch Splitting (Abstract LINK).


Interesting discussions with the Inventor of the Entegris/ATMI ProEvap Technology, Ravi Laxman, for solid precursor delivery in HVM at all the leading IDMs and Foundries since 2007.


Social Event by Air Liquide and Picosun at The Temple Bar in Dublin


Social Event by Air Liquide and Picosun at The Temple Bar - all enjoying a few pints of Guinness.


Industry panel on the future of ALD and ALE with the leading expertise Prof. Ritala (Helsinki University, Prof Roozeboom (TU Eindhoven/TNO), Dr. Suvi Haukka (ASM Micro Chemistry), Dr. Jean Marc Girard (Air Liquide and Dr. David Thompson (Applied Materials)


Moderation by ALD2016 Co-ChairDr. Jonas Sundqvist, then Operation Manager of Lund Nano Lab.


Green Beam splitting at the Gala Dinner & Award ceremony.


The fantastic Conference Centre in Dublin by night, The Convention CentreDocklands, Dublin 

All photos above by Herr und Frau Dr. Knaut (C)2016 (https://www.katharinaknaut.com/index.php?seite=archiv&name=201608jonasald)


Tuesday, June 2, 2020

AlixLabs signs agreement to use the lab facilities of NanoLund

AlixLabs signs agreement to use the lab facilities of Sweden’s largest research environment for nanoscience and nanotechnology. At the same time moves in to Ideon Scinece Park in Lund, Sweden.

NanoLund, founded in 1988, is the Center for Nanoscience at Lund University and a Strategic Research Area funded by the Swedish government. Encompassing 55 research groups in the faculties of engineering, science and medicine, more than 130 PhD students.

https://www.nano.lu.se/

AlixLabs (Link)

Wednesday, May 27, 2020

Get in touch with AlixLabs - save cost for future leading edge nodes in Semi

For more than 15 years now (since 2004, Samsung 90 nm DRAM) Atomic Layer Deposition (ALD) processing is keeping the advanced chips manufacturable, ensures performance and scaling, and pushing cost down for the consumer. Guess what - now we want to do the same by applying Atomic Layer Etching (ALE) into the leading edge Logic and Memory nanopatterning process flow - helping lithography keeping the overall cost down for you the consumer.

We at AlixLabs invite you to come and chat with us to learn more!


Cost analysis after break down of the Samsung Galaxy S20 Ultra. Credit: TechInsight

Monday, May 25, 2020

AlixLabs ramps up activities and employs Dr. Mohammad Karimi as Principal Scientist

After successfully securing additional soft funding from VINOVA, AlixLabs AB ramps up activities in Lund, Sweden,  and employs Dr. Mohammad Karimi as Principal Scientist. Mohammad Karimi received his PhD in Physics from Lund University in 2020, where he worked on design, nano-fabrication and characterization of novel optoelectronic devices based on semiconductor nanowires. Dr. Karimi has authored and co-authored more than 20 journal publications and conference presentations in the field of solid-state physics and III-V semiconductor devices.

Mohammad Karimi Research Portal and publications at Lund University (LINK)

Dr. Mohammad Karimi as Principal Scientist, AlixLabs AB

Atomic Layer Etching for Nano Device Fabrication at AlixLabs

We provide an ALE-based method of manufacturing nanostructures with a characteristic size below 20 nm.

This is a new method of nanostructure fabrication using the atomic layer etching process, which is inherently a damage-free etch process. The recently discovered etching process selectivity to inclined surfaces, allows to use walls of tapered structures as a mask. The inclined surfaces can be readily fabricated by e.g. dry etching or epitaxial growth, and will provide masking during the atomic layer etching process.

The key Tool for ALE development - An Inductively coupled plasma reactive ion etching (ICP-RIE) system Apex SLR from Advanced Vacuum Systems AB. The system is designed for controlled nanoscale etching of Si, SiO2, Si3N4, W, Mo and polymers (resists) with fluorine-based chemistry. Unprotected surfaces of the following materials are not allowed: glasses, noble metals (Au, Ag, Pt, Cu, Pd), heavy metals (Cd, Pb, Zn) and certain types of polymers (e.g. silicones). In total, 8 process gases are presently available: SF6, CHF3, CF4, C4F8, Ar, O2, H2, N2. LINK

The inclined surfaces can be readily fabricated by e.g. dry etching or epitaxial growth, and will provide masking during the atomic layer etching process. This process therefore provides access to fabrication of extremely small structures in a very precise and efficient way.

AlixLabs is a user at Lund Nanlo Lab, Lund University, Sweden

Lund Nano Lab (LNL) is an open research facility that is available to both academic research groups, start-up and company users. Our world-class clean room facility is equipped with state-of-the-art semiconductor processing and metrology equipment.

  • ISO 5 and ISO 7 cleanroom facility for cutting edge nano- and micro-fabrication
  • 24/7 access for accredited academic research and company users
  • Fabrication and analysis of structures on the micro- and nanometer-scale
  • Wide range of equipment for Growth, Lithography, Deposition, Etch and Characterisation
  • Centre of excellence for Epitaxial growth of III-V materials
  • Industrial product development and prototype testing
  • Staffed by expert equipment and process experts available to provide user training

Lund Nano Lab is one of the main resources within NanoLund and provides support to research groups in strategically important areas of research such as:

  • Nanowire growth and material science
  • Fundamental and device physics, electronics and photonics
  • Nano-bio and life science
  • Exploratory nanotechnology
  • Growth and physics of new materials
  • Nanowire-based photovoltaics
  • Processing of nanoelectronic devices and circuits


Sunday, November 17, 2019

AlixLabs receives Vinnova grant for "Innovative startups"

93 Swedish startup companies with innovative business ideas share 28 million SEK from Vinnova. The companies receive funding to develop new solutions that can contribute to sustainable development and increased competitiveness.

The companies receive a grant of up to SEK 300,000 for developing prototypes or developing their business model. "The support enables young companies with risky projects to try their ideas and get some way to find an investor, customer or partner," says Emelie Falk, responsible for the announcement at Vinnova. Some of the startup companies that receive funding:

Some of the startup companies that receive funding:

Aquammodate AB, A new filter technique for purifying drinking water, based on natural materials with high purity and low energy consumption. 
 
HIPOR Materials AB, Reflective ceramics for space applications, which combines high insulation with high solar reflectance.

Alixlabs AB, Atomic Layer Etching for sub 10 nm semiconductor manufacturing - Proof of Concept

AlixLabs

AlixLabs logo



Fundamental to the entire IT explosion is the miniaturization that enabled an explosive increase in functionality with a reduced cost and power consumption. Moore's law describes the speed of this miniaturization in a way that has been valid since the mid sixties. However, we are now approaching a limit for the development with resolutions on individual atoms, where 10 nm corresponds to about 20 atoms.

AlixLabs has found and patented a phenomenon that makes it possible in a much simpler and cheaper way to manufacture semiconductors sub 10 nm. Our method can be said to use similar physical problems that other methods try to circumvent.

AlixLabs website