Saturday, August 31, 2024

Breakthrough by Japanese Researchers - Block Copolymer Enables Ultra-Fine Semiconductor Patterns with 7.6 nm Half-Pitch

Scientists at Tokyo Institute of Technology and Tokyo Ohka Kogyo have developed a novel block copolymer (BCP) that could significantly advance semiconductor manufacturing by enabling finer circuit patterns through directed self-assembly (DSA). This new BCP, derived from a chemically tailored version of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA), self-assembles into lamellar structures with a half-pitch size of just 7.6 nanometers. This surpasses the capabilities of conventional BCPs, which struggle to achieve sub-10 nm features, and represents one of the smallest reported half-pitch sizes in the world for thin-film lamellar structures.

a Schematic of the DSA process using a PS-b-PGFM on a chemically patterned Si substrate. AFM phase images of a b PS-b-PGFM19-23 film on an NL35-modified DSA substrate (Ls = 90 nm) after annealing at 240 °C for 5 min, c PS-b-PGFM19-10 film on an NL38-modified DSA substrate (Ls = 84 nm) after annealing at 230 °C for 5 min, and d PS-b-PGFM18-11 film on an NL38-modified DSA substrate (Ls = 90 nm) after annealing at 230 °C for 5 min. All thin films are 19-nm thick and were etched using O2 plasma for 10 s prior to AFM.

The research, published in *Nature Communications*, highlights the potential of this new BCP to push the boundaries of miniaturization in electronics, which is crucial for the continued advancement of semiconductor technology. The tailored copolymer, PS-b-PGFM, exhibits reliable and reproducible self-assembly into extremely small nanometric patterns, making it a promising template for lithographic processes. As the demand for smaller feature sizes in semiconductor devices grows, this breakthrough could pave the way for next-generation Logic and Memory components that all need to continuously scale to smaller critical dimensions.

Sources:

Advances in Semiconductor Patterning: New Block Copolymer Achieves 7.6nm Line Width - Semiconductor Digest (semiconductor-digest.com)

Chemically tailored block copolymers for highly reliable sub-10-nm patterns by directed self-assembly | Nature Communications

Monday, August 26, 2024

Impact of Deposition Mechanisms on Feature Sizes in Area-Selective Atomic Layer Deposition of TiO2 and HfO2

A study from Georgia Techinvestigates the mechanisms behind area-selective atomic layer deposition (AS-ALD) of titanium dioxide (TiO2) and hafnium dioxide (HfO2) on poly(methyl methacrylate) (PMMA) and silicon (Si) substrates, emphasizing their effects on feature sizes and film thickness. The researchers found that TiO2 exhibits highly selective deposition on Si compared to PMMA, though the PMMA sidewalls inhibit deposition, resulting in smaller feature dimensions than the original patterns. In contrast, HfO2, while less selective, combines selective deposition with a lift-off mechanism, allowing for smaller feature sizes but limiting the possible thickness before full coverage occurs.

The study highlights that TiO2's truly area-selective deposition mechanism causes significant sidewall inhibition, restricting the achievable feature size to larger dimensions. However, HfO2's combination of selective deposition and lift-off results in less sidewall inhibition, enabling the formation of much smaller features. The research further suggests that the choice of deposition material and the mechanism it employs critically influences the minimum feature sizes that can be achieved in semiconductor fabrication, with practical implications for future device miniaturization.


Summary of the mechanisms for AS-ALD of TiO2 and HfO2 using a PMMA area-selective mask, along with the corresponding benefits and limitations of each material. J. Phys. Chem. C 2024, XXXX, XXX, XXX-XXX

The findings underscore that the AS-ALD mechanism—whether a pure area-selective process or a combination with lift-off—directly affects the precision and scalability of nanofabrication. TiO2's area-selective mechanism is more effective for creating precise patterns but is limited by sidewall effects, while HfO2 offers greater flexibility in feature size at the cost of potential thickness limitations due to less selective deposition behavior. Potentially the research provides valuable insights for optimizing deposition techniques in advanced semiconductor manufacturing.

Source

Sunday, August 25, 2024

Innovations in Atomic and Molecular Layer Deposition of Rare Earth-Based Functional Thin Films: Expanding Horizons in Electronics and Optoelectronics

In a recent article, researches from Germany (Bochum University) and Finland (Aalto University) explore the evolution and advancements in the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques for rare earth-based thin films, emphasizing their role in diverse applications ranging from microelectronics to optoelectronics and medical diagnostics. Initially focused on developing rare earth oxides as high-k dielectric materials in semiconductor devices, research has expanded to include complex ternary and quaternary perovskite oxides with unique magnetic and catalytic properties. The recent surge in ALD/MLD techniques has enabled the creation of rare earth-organic hybrid materials with intriguing luminescence properties, promising new avenues for applications in lighting, imaging, and solar cells.



Survey over the different rare earth precursor classes commonly employed for the ALD and ALD/MLD of rare earth containing thin films. Color bars indicate successful ALD employment of the compound class for the respective element. R represents an element of the extended rare earth elements, while R’ and R’’ refer to specific substituents. These are: H = Hydrogen, Me = Methyl, Et = Ethyl, iPr = Isopropyl, nBu = Butyl, tBu = Tert-butyl.

The review also highlights the challenges associated with precursor development and the need for further research to optimize the chemical reactivity and long-term stability of these materials. The potential for these novel materials to revolutionize industries is significant, particularly in the creation of flexible devices and advanced optoelectronic applications. However, according to the article, achieving widespread industrial adoption will require continued collaboration between academia and industry to refine processes, enhance material performance, and ensure scalability.




Annually published ALD and ALD/MLD articles involving rare earth elements from 1992 to 2023. The publications were searched from Scopus and Web of Science, using search terms that included “atomic layer deposition” and “rare earth”, or “atomic layer deposition” and “lanthanide”. The data thus acquired were further manually refined to check for numbers as accurate as possible. Data were accessed lastly on 10-02-2024.


Saturday, August 24, 2024

Optimizing Atomic Layer Deposition Processes with Nanowire-Assisted TEM Analysis - Reducing Process Development CycleTimes by 80%

Researchers from  Empa, the Swiss Federal Laboratories for Materials Science and Technology located in Switzerland, have developed a novel method to optimize Atomic Layer Deposition (ALD) processes using high-aspect ratio nanowires coupled with Transmission Electron Microscopy (TEM). By directly depositing materials onto nanowires placed on TEM grids, the team was able to conduct immediate post-deposition analysis, significantly speeding up the optimization of process parameters such as layer thickness, chemical composition, and conformality. This approach allows for rapid feedback and adjustment, reducing the time required to fine-tune ALD processes by a factor of five. 


The study focused on optimizing the deposition of aluminum oxide using a standard trimethylaluminum (TMA) and water process. By varying cycle numbers, temperature, and pulse/purge times, the researchers identified optimal conditions for the ALD process, achieving a uniform and stoichiometric aluminum oxide layer. This method also revealed early-stage non-uniform growth in the initial cycles, providing new insights into ALD mechanisms. The researchers propose that this technique could extend beyond ALD to other deposition processes, offering a powerful tool for the rapid development and refinement of thin-film deposition technologies.

Source: Optimizing Atomic Layer Deposition Processes with Nanowire‐Assisted TEM Analysis - Schweizer - 2024 - Advanced Materials Interfaces - Wiley Online Library

Wednesday, August 14, 2024

The 2024 1st Asian-Pacific Atomic Layer Deposition (AP-ALD) Conference Shanghai, China, from October 17 to 20, 2024

Following the successes of the previous four international Conferences on ALD Applications and ten China ALD Conferences since 2010. the 2024 1st Asian-Pacific Atomic Layer Deposition (AP-ALD) Conference will be a four-day meeting, dedicated to the fundamentals and applications of Atomic Layer Deposition (ALD) technology in various fields. It will be held in Shanghai, China, from October 17 to 20, 2024. This conference will feature plenary sessions, oral sessions, poster sessions and industrial exhibitions.

The ALD technique has been widely used and explored in numerous fields such as microelectronics, photoelectronics, optical coating, functional nanomaterials, MEMS/NEMS, energy storage, biotechnology, catalysis technology and etc. This is attributed to some unique advantages of ALD, including precise control of nano-scale thickness, superior uniformity across a large area, excellent conformity, relatively low deposition temperature and stoichiometric composition. Especially in the field of microelectronics, ALD has been involved deeply into advanced integrated circuits to prepare high-k/metal gate, spacer, and ultrathin diffusion barriers for Cu interconnects etc. Furthermore, ALD is also receiving great attention for its potential application in photovoltaics, flexible electronics, organic electronics, flat-panel display and other emerging areas.








Invited speakers: Invited Speakers – AP-ALD

Conference Web: AP-ALD – ALD2024




Tuesday, August 13, 2024

TSMC's 22ULL ReRAM with Ruthenium Layer Challenges Fujitsu's TaO-Based Technology with an Iridium layer in Embedded Memory Race

Techinsights reports (link below) that TSMC and Fujitsu are leading the charge in embedded ReRAM technology, with TSMC's new 22ULL eReRAM introducing a significant challenge to Fujitsu's established 40 nm TaO-based eReRAM. Both companies employ different resistive materials—Fujitsu uses a tantalum oxide (TaO) layer enhanced with an iridium layer, while TSMC incorporates a hafnium oxide (HfO) layer, paired with a ruthenium (Ru) layer, which enhances performance and reliability. TSMC's 22ULL platform, featuring this advanced HfO and Ru-based ReRAM, is set to challenge Fujitsu's position, especially in critical applications like automotive and IoT, where efficiency and capacity are paramount. With TSMC offering both eMRAM and eReRAM solutions, the competition between these technologies will significantly influence the future of embedded memory devices.

Other materials with similar resistive switching properties include: titanium oxide (TiOx), nickel oxide (NiO), zinc oxide (ZnO), zinc titanate (Zn2TiO4), Manganese oxide (MnOx), magnesium oxide (MgO), aluminum oxide (AlOx), and zirconium dioxide (ZrO2).



Screendumps from Techinsights website, Top an overview table and below TSMC resp. Fujitsu 28 nm ReRAM.




Sources:

TSMC vs. Fujitsu: A Brief Comparison of 22ULL Embedded ReRAM Technologies | TechInsights

South Korea's Exports Surge in August Driven by Semiconductors; SK Hynix Leads 1c DRAM Production, Samsung Confirms Investment for 2025 Launch; Both Giants to Adopt Next-Gen Photoresist Technologies

In the first 10 days of August 2024, South Korea's exports increased by 16.7% year-on-year, reaching $15.5 billion, driven primarily by a significant 42.1% surge in semiconductor exports. Other sectors like petroleum products and automobiles also saw growth, with automobile exports rising sharply by 63.9%. However, machinery product exports declined by 10.6%. Imports grew by 13.4% to $18.4 billion, resulting in a trade deficit of $2.9 billion. Notably, exports to major trading partners China and the United States increased by 10.7% and 27.7%, respectively. This continues a trend of export growth, marking the tenth consecutive month of gains as of July.



SK hynix has announced it will begin mass production of its 6th generation (1c) 10nm class DRAM in the third quarter of 2024, ahead of Samsung Electronics, which plans to start production by the end of the year. SK hynix has already established an internal road map to achieve customer certification and start production, potentially positioning itself to capture significant demand from major tech companies like Amazon and Microsoft once Intel certifies its DRAM for server use. The 6th generation DRAM, utilizing advanced Extreme Ultraviolet (EUV) lithography, promises higher chip yields and improved power efficiency compared to previous generations.

Samsung has confirmed its investment in the Pyeongtaek P4 plant for the production of 6th-generation 1c DRAM, with plans to begin mass production in June 2025. This next-generation DRAM, which uses 10nm-class technology, is still not commercialized globally, but Samsung and SK hynix are preparing for its mass production. Despite initial delays due to a downturn in the semiconductor market, Samsung is now expanding its P4 facility, initially installing NAND flash equipment and confirming plans for 1c DRAM production. The company also anticipates launching HBM4 using 1c DRAM by the second half of 2025, aligning with forecasts of significant growth in the memory industry's revenues.

Both Samsung and K Hynix plans to adopt Inpria's metal oxide resist (MOR) technology in the production of 1c DRAM, utilizing MOR to draw the finest lines on one of the five to six  EUV layers in the 1c DRAM. This adoption aims to enhance performance and reduce costs in future DRAMs. MOR is seen as a next-generation alternative to the chemically amplified resist (CAR) currently used in advanced chip lithography, addressing CAR's limitations in resolution, etching resistance, and line edge roughness. 

In 2021, JSR Corporation announced its acquisition of Inpria Corporation, the leading innovator in metal oxide photoresist technology for EUV lithography, solidifying its focus on advancing semiconductor materials.

Samsung is considering multiple suppliers for its EUV MOR photoresist needs beyond Inpria, including companies like Dupont, Dongjin Semichem, and Samsung SDI. These alternatives are currently being tested as the company explores the best options for its 1c DRAM production. 

Lam Research refers to its inorganic photoresist technology as "dry resist," which reportedly is expected to be supplied for Gen 7 10nm (1d) DRAM production, anticipated to launch next year. This dry resist is deposited by ALD and represents a further evolution in PR technology, potentially offering enhanced performance for the next generation of DRAM manufacturing.

Exports increase 16.7 percent in first 10 days of August - The Korea Times

SK hynix Leads with ‘6th Generation 10 nm’ DRAM Production Ahead of Samsung - Businesskorea

[News] Samsung Reportedly Confirms Investment in Pyeongtaek P4 Plant for 6th-Generation 1c DRAM | TrendForce Insights

SK Hynix to adopt Inpria MOR in 1c DRAM - THE ELEC, Korea Electronics Industry Media (thelec.net)

Samsung said to consider Inpria's metal oxide resist for 1c DRAM process (digitimes.com)

Samsung considering applying metal oxide resist in next DRAM - THE ELEC, Korea Electronics Industry Media (thelec.net)

Monday, August 12, 2024

ALD for Industry, March 11-12, 2025 in Dresden

The 8th International Conference “ALD FOR INDUSTRY” will again bridge the gap between fundamental science, industrialization and commercialization of this technology. Atomic layer deposition (ALD) is a process for depositing a variety of thin film materials from the vapor phase of matter. The growth of this technology is not only based in microelectronics applications, but also in areas of industrial Li-Ion batteries, photovoltaics, optics, light, biomedicine and quantum technology.



This event is already establied since 2017 and attracts annually more than 100 participants and numerous exhibitors to visit Dresden. The Conference with Tutorial provides the opportunity to learn more about fundamentals of ALD technology, to get informed about recent progress in the field and to get in contact with industrial and academic partners. Increase your visibility and present your company in our accompanying exhibition.

The next event will take place in March 11 – 12, 2025 in Dresden. Please do not miss the chance to submit your abstract to present your recent research in the field of ALD, show application examples or present accompanying technologies like metrology, combinations of technological approaches like plasma technology or vacuum technologies.

You would like to present your new developments and research results?
Please send your abstract to info@efds.org until September 15, 2024.

Sunday, August 11, 2024

Forge Battery Begins Shipping High-Energy 300 Wh/kg Lithium-Ion Cells Made in The USA

Forge Battery, a subsidiary of Forge Nano, has initiated the shipment of its advanced 21700 cylindrical lithium-ion battery cells, branded as “Gen. 1.1 Supercell,” to customers and potential partners. The cells, which boast a specific energy of 300 Wh/kg, have passed rigorous safety certifications (UN 38.3 and UL 1642), allowing for safe transportation. The company plans to deliver thousands of cells throughout 2024, fulfilling existing customer commitments and generating interest from new markets. These cells are designed with over 20% silicon in the anode and use NMC 811 cathodes, outperforming U.S. Advanced Battery Consortium (USABC) energy density targets and reducing costs by 20% per kWh.

The Supercells incorporate Forge Nano’s proprietary Atomic Armor™ coating technology, enhancing the durability and performance of the cells by preventing unwanted chemical reactions. With 90% of the materials sourced from U.S. suppliers, Forge Battery is set to become a key player in the domestic battery market, aiming for full-scale production at its upcoming North Carolina Gigafactory in 2026. These cells are targeted at high-performance applications, including electric trucks, aerospace, and defence, with the potential to outcompete current Tier 1 global suppliers.

Source:

Forge Battery Begins Bulk Customer Shipments of 300 Wh/kg Lithium-Ion Battery Cells - Forge Nano

JSR Corporation Completes Strategic Acquisition of Yamanaka Hutech Corporation to Bolster Semiconductor Materials Portfolio with CVD and ALD Precursors

On August 2, 2024, JSR Corporation announced the successful acquisition of Yamanaka Hutech Corporation, a renowned supplier of high-purity chemicals for the semiconductor industry. The acquisition, finalized on August 1, 2024, positions YHC as a wholly-owned subsidiary of JSR. This strategic move allows JSR to enhance its product offerings, particularly in semiconductor film-forming technologies, and aligns with its growth strategy aimed at strengthening its presence in the advanced semiconductor materials sector. JSR is committed to driving innovation, optimizing supply chains, and maintaining strong customer relationships as the semiconductor industry undergoes significant changes.



JSR Corporation's acquisition of Yamanaka Hutech Corporation (YHC) brings YHC's high-purity CVD and ALD precursors into JSR's portfolio, enhancing its capabilities in semiconductor materials. YHC, with over 60 years of expertise in advanced molecular design and synthesis technology, has a strong track record in supplying high-quality CVD/ALD precursors, particularly in competitive ALD material areas. This acquisition allows JSR to diversify beyond its traditional focus on photoresists and strengthens its position as a global leader in advanced semiconductor materials, poised to drive innovation in both miniaturization and device structure advancements.

Sources:

JSR Completes Acquisition of All Shares in Yamanaka Hutech ~ Accelerating Semiconductor Materials Industry Reorganization~ | 2024 | News | JSR Corporation

JSR to make Yamanaka Hutech, a high-purity chemical for semiconductors, a wholly owned subsidiary ~Expanding the product portfolio in the field of cutting-edge semiconductor deposition~ | 2024 | News | JSR Corporation

Jusung Engineering Posts Stellar Q2 Recovery with 207% Sales Surge, Driven by Semiconductor Market Rebound

Jusung Engineering reported a robust financial recovery in the second quarter of 2024, with sales soaring by 207% to 97.3 billion won ($72.0 million) compared to the same period last year, and an operating profit margin of 37%. This turnaround follows a challenging first quarter and is driven by increased orders and deliveries of semiconductor equipment, including a significant contract with SK Hynix for DRAM manufacturing in China. The company's expertise in Atomic Layer Deposition (ALD) technology and its expansion into OLED and solar power sectors position it well for continued growth as the semiconductor market rebounds.


Sources:


Materion Achieves Record-Breaking Q2 Results, Strengthened by Consumer Electronics and Aerospace Growth

Materion is a major Tier 2 supplier of ALD precursors to the big Tier 1 companies. In the second quarter of 2024, Materion Corporation delivered record-breaking results, reflecting the company's recovery after a challenging start to the year. The improvement was primarily driven by organic growth initiatives, strong operational performance, and cost management. Key areas of growth included aerospace and defense, consumer electronics, and a gradual recovery in the semiconductor market. Despite some softness in industrial and automotive sectors, Materion secured several new business partnerships, particularly in aerospace and defense, bolstering long-term growth prospects. The company also achieved its midterm EBITDA margin target of 20% for the third time in five quarters and remains focused on operational excellence and sustainable earnings growth for the remainder of the year.

2024 Outlook

  • Semiconductor market recovery slower than prior expectations
  • Continued benefit from cost improvement initiatives


Specifically, Materion's expansion into ALD products has been significant for supporting advanced semiconductor production, especially in the context of rapid digitization and AI advancements. The company received an excellent supplier award from a leading ALD customer, highlighting its successful innovation in ALD materials. This expansion into ALD not only supports complex chip production but also positions Materion to capitalize on the growing demand in the semiconductor industry. Despite a slower-than-expected semiconductor market recovery, the company's strategic moves in ALD and other high-tech sectors are expected to drive continued growth and margin expansion in the future.

Materion Corporation has significantly expanded its capabilities in ALD products, particularly focusing on advanced materials for the semiconductor industry such as Hafnium and Molybdenum. The company produces ALD precursors, which are critical for creating the ultra-thin films required in the manufacturing of next-generation semiconductor chips. Recently, these materials also include tantalum and niobium-based compounds, which were added to Materion's portfolio following the acquisition of H.C. Starck's electronic materials business in 2021.

Materion's new facility in Milwaukee, Wisconsin, is dedicated to enhancing the production of these ALD materials, positioning the company as a key supplier in the high-growth semiconductor market. The facility also supports the development of next-generation battery technologies for electric vehicles, indicating the strategic importance of ALD materials in both semiconductor and EV markets​

Sources:

Atomic Layer Deposition

Business Wire

Materion Corporation (MTRN) Q2 2024 Earnings Call Transcript | Seeking Alpha

ACM Research Advances in ALD with Ultra FnA Furnace System for Semiconductor Manufacturing

ACM Research, a US company specializing in wafer cleaning equipment for the semiconductor industry, presents a strong growth opportunity. The company beat Q2 estimates, raised its fiscal year guidance, and is well-positioned to benefit from the expected significant growth in the wafer cleaning equipment market, particularly in China. ACM Research's competitive advantages include high investment in R&D and the ability to provide highly customized solutions. 

The Ultra FnA Furnace System by ACM Research is designed for the precise and uniform deposition of ultra-thin films using thermal Atomic Layer Deposition (ALD), which is essential for advanced integrated circuits (ICs) and compound semiconductor manufacturing as logic nodes shrink. It effectively deposits silicon nitride (SiN) and silicon carbide nitride (SiCN) on high aspect ratio 3D structures, such as FinFETs and nanosheets, ensuring good step coverage and uniformity across wafers. The system boasts superior process control through its innovative hardware and proprietary algorithms, offering high-throughput batch processing, cost-effectiveness, and customization for various advanced semiconductor processes, with the capability to process up to 100 wafers at a time.



ACM Research Advances in ALD with Ultra FnA Furnace 300 mm System for Semiconductor Manufacturing


About ACM Research

ACM Research offers a comprehensive portfolio of tools designed to support various semiconductor manufacturing applications, including ICs, compound semiconductors, wafer-level packaging, and wafer manufacturing. Their advanced product range includes solutions for multiple processing steps such as wet cleaning, electroplating, thermal deposition, ALD, and more. Known for delivering customized, high-performance technology that enhances productivity and efficiency, ACMR is committed to meeting the diverse needs of high-volume manufacturing with a low cost of ownership. With a strong IP portfolio and a global presence, ACMR leverages its extensive industry expertise and international support network to provide innovative solutions and world-class service to customers across Asia, North America, and Europe. Founded in California in 1998, the company operates manufacturing and support facilities in China and South Korea.

Sources:

Ultra FnA ALD Furnace System - ACM Research, Inc.

ACM Research: A Promising Semiconductor Growth Opportunity Outpacing Risks (NASDAQ:ACMR) | Seeking Alpha

Saturday, August 10, 2024

The AVS ALD ALE 2024 Conference in Helsinki - Record Breaking Attendance and Deposition Speed of ALD

The AVS ALD ALE 2024 conference in Helsinki, Finland, which took place from August 4-7, 2024, attracted significant attention number of delegates (number still pending) and reporting on social media among professionals in the field of atomic layer deposition and etching. Participants and companies highlighted key moments and innovations presented during the conference.

One of the major highlights shared on platforms like X/Twitter was the celebration of the 50th anniversary of Atomic Layer Deposition (ALD), with special recognition given to Dr. Tuomo Suntola, the pioneer of ALD technology. His opening remarks were highly anticipated and well-received, marking a significant milestone in the field.
Attendees shared their experiences from the welcome reception and the technical sessions, with many noting the high caliber of presentations and the importance of networking opportunities provided by the event under the tag #ALDALE2024 (#ALDep - Search / X (twitter.com)). Overall, social media posts reflected an as usual vibrant and engaged ALD & ALE community, excited about the advancements and collaborations emerging at AVS ALD 2024 in Helsinki.


The 2024 Chairs for ALD Prof. Mikko Ritala and Prof. Markku Leskelä and for ALE Prof. Fred Roozeboom and Dr. Dmitry Suyatin. In the middle ASM Internationals former CTO Ivo Raaijmakers and on the rigt Dr. Tuomo Suntola, The ALD Inventor himself. LINK


The largest group photo at the ALD/ALE 2024 backdrop by registration - Helsinki University! LINK


A Crowded House for the Plenary by Dr. Suntola. LINK


Congratulations to ALD Innovator Awardee Annalise Delabie also presenting to a full house! LINK


Plenary talk by Ivo Raaijmakers, The leading ALD company ASM International. LINK


Best poster ALD 2024 Award by BALD Engineering. Thermal Ru without desalination by Parmish Kaur. LINK


One of numerous Finnish Sauna Events LINK


ALD Tough Guys and social events. LINK

Additionally, the leading ALD & ALE companies showcased their latest advancements. For example, Lotus Applied Technology drew attention for their presentation on ultra-high-speed ALD film growth, achieving deposition rates of 30 Å/second while maintaining film uniformity. This breakthrough was a trending topic among attendees, reflecting the ongoing innovation in the ALD sector.

Lotus Applied Technology reported: The research on ultra-high-speed spatial Plasma-Enhanced Atomic Layer Deposition (PEALD) introduces a novel approach to separating ALD half-reactions by leveraging a unique plasma-based mechanism. Instead of traditional differential flow and pumping, the process utilizes a gas shroud surrounding the plasma electrode, which facilitates the neutralization of oxidation radicals, preventing interaction with metal precursor vapors within the reactor. This method effectively separates the reactive species and allows for high deposition rates, achieving coating speeds over 25 angstroms per second for SiO₂ films. The process also includes innovations to reduce ozone byproducts, such as using carbon dioxide as the plasma gas and applying an active catalyst in the exhaust path​ (Lotus Applied Technology | Home).

At the end the AVS ALD ALE 2025 was presented: The AVS 25th International Conference on Atomic Layer Deposition (ALD 2025) featuring the 12th International Atomic Layer Etching Workshop (ALE 2025) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. The conference will take place Sunday, June 22-Wednesday, June 25, 2025, at the International Convention Center Jeju (ICC Jeju), Jeju Island, South Korea. ald2025 (avs.org)

ALD Program Chair:
Prof. Han-Bo-Ram (Boram) Lee
(Incheon National University, South Korea)


ALE Program Chair:
Prof. Heeyeop Chae
(Sungkyunkwan University, South Korea)



The 2024 Chairs handing over to the 2025 Chairs in Korea. LINK






Friday, August 9, 2024

Lithography Materials Headed for Upwards Growth

PFAS elimination efforts expected to drive migration to photoresist alternatives

San Diego, CA, August 8, 2024: TECHCET— the electronic materials advisory firm providing business and technology information —is forecasting semiconductor photoresist revenues to increase by nearly 11% in 2024. Overall semiconductor market recovery is expected in 2024, particularly in the second half, which should drive increased demand for all resists. In parallel, photoresist ancillaries are expected to increase by around 10%, and extensions by around 9%. More details on photoresist volume and revenue forecast by material can be found in TECHCET's new Lithography Materials Critical Materials Report™.



Recent pushes in the EU and US to eliminate PFAS-related chemicals are expected to gradually impact future photoresist material compounds. Photoresists that use photoacid generating (PAG) compounds have been qualified and used for many years, making it challenging to switch away to alternatives. While numerous companies and universities are working to develop non-PFAS-related PAGs, current performance is not yet meeting all process requirements. Consequently, defining suitable non-PFAS PAG alternatives and transitioning effectively is expected to take 5-10 years.

To read the full article, go to: https://lnkd.in/gKadBq7Z

The newly released TECHCET Critical Materials Reports™ on Lithography Materials contains details on market and technology trends and supplier profiles. For the full table of contents or to request a sample report, visit https://lnkd.in/esXU6SW

Want to receive more market updates? Sign up for our mailing list here: https://lnkd.in/ggMAbXS

Thursday, August 1, 2024

AVS ALD/ALE conference returns to Helsinki after 20 years to celebrate 50 years of ALD!

The AVS 24th International Conference on Atomic Layer Deposition (ALD 2024), alongside the 11th International Atomic Layer Etching Workshop (ALE 2024), will be held from August 4-7, 2024, at Messukeskus in Helsinki. Organized by the American Vacuum Society (AVS), the event returns to Helsinki after 20 years to mark the 50th anniversary of Dr. Tuomo Suntola's pioneering work on ALD. Dr. Suntola, who received the Millennium Technology Prize in 2018 for his contributions to ALD, will deliver the opening remarks. Professors Mikko Ritala and Markku Leskelä from the Department of Chemistry serve as the program chairs for this year's conference.



The ALD conference, focusing on the science and technology of atomic layer controlled deposition and etching of thin films, alternates between the United States, Europe, and Asia. The last Helsinki event in 2004 celebrated 30 years of ALD. This year's conference is expected to break attendance records with nearly one thousand participants and received an unprecedented 502 abstracts. The event highlights significant industry involvement, with 55% participation from industry representatives last year

The plenary talk will be given by Dr. Ivo J. Raaijmakers of ASM, The Netherlands, emphasizing the long-standing collaboration between the University of Helsinki and ASM. Countries contributing the most abstracts include the United States, South Korea, Germany, Finland, the Netherlands, and Japan.


Conference page: ald2024 (avs.org)