Wednesday, August 27, 2014

Picosun teams up with IMEC to realize next generation’s battery technology with ALD

ESPOO, Finland, 25th August, 2014 – Picosun Oy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment for global industries, teams up with IMEC to realize next generation’s battery technology with its advanced ALD solutions.
 


IMEC (headquartered in Leuven, Belgium) is a nanoelectronics research center, performing world-leading research in micro- and nanoelectronics via global partnerships in the fields of ICT (information and communications technology), healthcare, and energy. To ensure always the highest level, cutting-edge quality of its research and product development, IMEC has now started working with Picosun as solution and technology provider for ALD-based energy storage components for advanced microelectronic systems such as medical implants, automotive, sensor networks, and mobile communication devices.
 
Picosun’s ALD equipment for IMEC is equipped with revolutionary boosting heated source systems and full inert gas glove box integration to enable the best results in coating of moisture sensitive materials with demanding film processes. Picosun’s ALD tools are world known for fulfilling the strictest industrial productivity, film purity, and quality standards scalable to high volume manufacturing with fast process times and low cost-of-ownership.
 
“We are proud of our ALD technology’s continuing expansion to new industrial fields. The fact that IMEC, one of world’s leading semiconductor and nanoelectronics research institutes relies on Picosun’s ALD expertise to enable novel energy storage solutions for global electronic industries speaks volumes about our level of thin film processing know-how and the trust that our customers place on us,” states Juhana Kostamo, Managing Director of Picosun. 
Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.
 
Interesting Links and further reading:
 
The SoS-Lion project at imec to build a functional all solid state 3D thin film microbattery. To this end, both conformal coating processes and solid electrolyte materials need to be developed.
  • Planar thin film battery with focus on conductivity of solid electrolyte
  • 3D thin film battery with focus on conformality and mechanical compliance of solid electrolyte
Towards all solid-state 3D thin-film batteries for durable and fast storage
Article in Solid State Technology by PHILIPPE VEREECKEN, principal scientist, imec, associate professor, KU Leuven

Picosun on Energy storage and production

Wednesday, August 20, 2014

Levitech BV sells ALD system to another Taiwanese solar cell manufacturer

ALMERE, The Netherlands – August 20, 2014 – Levitech BV announces the sale and shipment of a Levitrack® ALD system to a new Taiwanese solar cell manufacturer. This system plays a central role in the development and manufacturing of high-efficiency solar cells on both mono- and multi-crystalline silicon.

In recent years Levitech has supplied a number of Levitrack spatial ALD systems, which deposit aluminum oxide (Al2O3) passivation layers on both p and n-type solar cells, to high-efficiency solar cell manufacturers in Asia. This order marks another step in the market growth and penetration of the aluminum oxide (Al2O3) surface passivation technology and the expansion of Levitech's sales activities.

The Levitrack’s unique spatial ALD process and high throughput result in a superior cost-of-ownership of the overall passivation process flow and helps any client to address current and future technology needs.

About the Levitrack

The Levitrack ALD system is based on the innovative concept of spatial precursor separation, instead of precursor separation in time, and works in combination with the unique floating wafer and conductive heating technology used in the Levitech’s Levitor® RTP products. In the Levitrack, substrates float in a linear gas track and are heated to the required process temperature within a matter of seconds. Once heated, the substrates continue to float through a series of ALD deposition cells. Each cell consists of two precursor ‘curtains’, which are separated by a nitrogen purge ‘curtain’. The unique design of the ALD deposition cells allows for single-side ALD growth. As each ALD deposition cell is optimized for a fast linear motion of the substrates, a high throughput and low cost-of-ownership is guaranteed.

About Levitech

Levitech is a global player in production solutions for the IC and photovoltaic industries. A spin-off of ASM International, Levitech was established in 2009 around its core product, the Levitor® system. This system is based on a revolutionary patented technology and used in the semiconductor industry for Rapid Thermal Processing (RTP). The Levitrack™ ALD system is a state-of-the-art production solution for the solar industry and is based on the innovative concept of precursor separation in space, instead of time, in combination with the unique floating wafer and conductive heating technology used in the Levitor RTP products. For more information, visit Levitech's web site at www.levitech.nl.


Tuesday, August 19, 2014

US-Korean Joint Research Developing New Material Using Atomic Layer-manipulating Tech (MBE)

As reported by Business Korea: A Korean research team has successfully synthesized a new material that can be used in the development of materials in the energy area such as fuel cells, thermal conductors, and superconductors. The Korea Atomic Energy Research Institute (KAERI) announced on August 18 that a Korean research team led by Lee Joon-hyeok, a senior researcher at KAERI, and a U.S. counterpart was able to improve a method for molecular beam deposition that makes nanometer-scale film by stacking up atomic layers one by one.
 
The team also succeeded in synthesizing thin films made of layers of monocrystal lanthanum nickel oxides (Lan+1NinO3n+1 oxides). Since lanthanum nickel oxides have excellent ion conductivity and can respond to catalysts very well, they are actively studied as a material in the energy field like an electrode or a catalyst. In the past, it was difficult to conduct pure research on their characteristics and develop a new material by synthesizing them with other kinds of thin films, because the arrangement of existing polycrystalline lanthanum nickel oxides was irregular. Moreover, the bigger the size, the less regular the material. The joint research team observed in real-time the process where atomic layers of the oxides were piled up, using Oxide Molecular Beam Epitaxy (Oxide MBE) installed in the Advanced Photon Source (APS) Synchrotron at Argonne National Laboratory. During the process, the team discovered the phenomenon of voluntary rearrangement between layers, which means that the order of some atomic layers was not fixed, but reversed instead. By applying this phenomenon, the team manipulated the order that atomic layers of lanthanum oxides and nickel oxides were piled up, and was able to synthesize thin films made of layered compound-type monocrystal lanthanum nickel oxides as a result.
 

a–e, Optimized structures and relative energies of different stackings of two (a,b) or three (c–e) ​SrO and one ​TiO2 layer on a ​TiO2-terminated ​SrTiO3 substrate. (Nature Materials (2014)DOI:doi:10.1038/nmat4039)
 
KAERI is planning to apply the research findings in the development of new materials, such as a change in layer-structure materials and the measurement of material properties, using a neutron reflectometer in the Cold Neutron Research Facility (CNRF). The research findings were first published online on August 3 by Nature Materials.
 
 

Sunday, August 17, 2014

Aixtrons OEC-200 cluster platform includes an ALD process module

AIXTRON supplies deposition equipment to the semiconductor industry. The company's technology solutions are used by a diverse range of customers worldwide, enabling them to build advanced components for electronic and opto-electronic applications based on compound, silicon, or organic semiconductor materials, for polymers, as well as carbon nanotubes (CNT), graphene and other nanomaterials. For the deposition of organic thin films, AIXTRON offers two core technologies for mass production and R&D: AIXTRON’s Organic Vapor Phase Deposition (OVPD®) equipment serves as enabling technology for the deposition of organic small molecules for OLEDs and organic semiconductor solutions. With its line of Polymer Vapor Phase Deposition (PVPD®) equipment, AIXTRON also offers solutions for carrier gas enhanced vapor phase deposition of functional polymer thin films for a variety of applications, for instance for the manufacturing of functional layers for OTFTs, electro-wetting displays and others. The OVPD-200 system incorporates latest improvements for Organic Vapor Phase Deposition and offers modular source configuration. The new PRODOS-200 provides a powerful evaluation platform for Polymer Vapor Phase Deposition processes. Both solutions can be integrated with the novel OEC-200 cluster platform. Providing a range of integration components such as transfer modules, load locks, buffers, and other subsystems, the OEC-200 platform allows to integrate OVPD -200 and PRODOS-200 into automated cluster environments.”

Aixtron recently relased a video of this Organic Lab at R&D Center OLED Demo Cluster & Gen8 Demo Module. If you watch it you will also notice that it includes an ALD process module!


Aixtrons OEC-200 cluster platform (screendump)


Close up on the ALD process module (screendump) 

Lawrence Livermore researchers have made a super strong material by ALD

Lawrence Livermore researchers have made a material that is 10 times stronger and stiffer than traditional aerogels of the same density. This ultralow-density, ultrahigh surface area bulk material with an interconnected nanotubular makeup could be used in catalysis, energy storage and conversion, thermal insulation, shock energy absorption and high energy density physics.

Ultralow-density porous bulk materials have recently attracted renewed interest due to many promising applications. Unlocking the full potential of these materials, however, requires realization of mechanically robust architectures with deterministic control over form, cell size, density and composition, which is difficult to achieve by traditional chemical synthesis methods, according to LLNL's Monika Biener, lead author of a paper appearing on the cover of the July 23 issue of Advanced Materials.

Biener and colleagues report on the synthesis of ultralow-density, ultrahigh surface area bulk materials with interconnected nanotubular morphology. The team achieved control over density (5 to 400 mg/cm3), pore size (30 um to 4 um) and composition by atomic layer deposition (ALD) using nanoporous gold as a tunable template.

"The materials are thermally stable and, by virtue of their narrow unimodal pore size distributions and their thin-walled, interconnected tubular architecture, about 10 times stronger and stiffer than traditional aerogels of the same density," Biener said.

The three-dimensional nanotubular network architecture developed by the team opens new opportunities in the fields of energy harvesting, catalysis, sensing and filtration by enabling mass transport through two independent pore systems separated by a nanometer-thick 3D membrane.
Monika M. Biener, Jianchao Ye, Theodore F. Baumann, Y. Morris Wang, Swanee J. Shin, Juergen Biener and lex V. Hamza
Adv. Mater. 28/2014, DOI: 10.1002/adma.201470188
 
 
Abstract:
The 3D interconnected nanotubular morphology of ultra-strong, low-density bulk materials derived by atomic layer deposition templating of nanoporous gold is demonstrated by M. M. Biener and co-workers on page 4808. This process provides deterministic control over density, feature size and composition. The unique morphology makes this material stronger and stiffer than other low density materials and opens the door to new filtration and catalysis applications.

Saturday, August 9, 2014

The 3rd Symposium of Atomic Layer Deposition at SEMICON Europa October 7th 2014

Symposium of the ALD-Lab

Workshop on Atomic Layer Deposition

Date: 7 October 2014
Time: 09:00 - 13:00
Location: Room Le Bans, ALPEXPO
 
Organized by:
 
The termination of Moore’s law is often associated with a lack of technological control at atomic dimensions. However the innovative utilization of self limiting heterogeneous chemical reactions enabled the introduction of a new deposition technology into the microelectronic manufacturing process within the last decade, which is generally capable to control film growth within atomic layers on any wafer size and on any topography.
Although the scientific background of ALD goes far back in history ALD can still be considered as an emerging technology. It required challenges like deposition of atomic thin work function layers or novel dielectrics in High-k MG structures to realize the advantage of precise thickness control on large substrates against the low deposition rate that is inherent to ALD.
Progress in ALD is associated with tools, but even more with specifically designed precursors which need to be applied at optimum conditions of the gas feed system, the process chamber and the substrate condition. Our workshop, which is organized by the “ALD Lab Dresden” wants to stimulate discussions between developers of tools, consumables, as well as applicants of this exciting technology.
 
 

Agenda

 09:00Welcome and the latest ALD Research at TU Dresden
“Fundamental insight into ALD processing by in-situ observation”
 Johan W. Bartha, Institut für Halbleiter- und Mikrosystemtechnik, TU Dresden, Germany
 
 09:25Development of innovative ALD materials and tools for high density 3D integrated capacitors
Malte Czernohorsky, Fraunhofer IPMS-CNT, Dresden, Germany
 
 09:50Recent Developments in Heteroleptic Chemistries for Next Generation thin films PEALD/ALD
Jean Marc Girard and Nicolas Blasco, Air Liquide Electronics, Paris/Grenoble, France
 
 10:15High permittivity dielectrics for CMOS FDSOI Gate first technologiess
Mickael Gros-Jean, ST Microelectronics, Grenoble, France
 
 10:40ALD deposited ferroelectric HfO2
Stefan Slesazeck, NaMLab, Dresden, Germany
 
 11:05ALD of Metals and Metal Oxides for Advanced Interconnect and Sensor Technology: In-Situ Investigations for the ALD of Copper
Stefan E. Schulz, Fraunhofer ENAS, Chenitz, Germany
 
 11:30Plasma-assisted ALD of Silicon Nitride and Gallium Nitride
Harm C. M. Knoops, Oxford Instruments
 
 11:55Real Time True Surface Monitoring for ALD Processes
Hassan Gargouri, Sentech, Berlin, Germany
 
 12:20Conversation / Networking
 
 13:00Termination of the workshop
 

Registration

No pre-registration required but you must register as a visitor, in order to gain access to the venue:

Thursday, August 7, 2014

Pulsed-bed atomic layer deposition setup for powder coating

An interesting publication on a Pulsed-bed atomic layer deposition setup for powder coating capable of conformal coatings of powders, easy technology for thickness control down to atomic level and a capability to process powders of different characteristics. Find out more in the publication bleow by H. Tizando et al from Universidad Nacional Autónoma de México.

 Pulsed-bed atomic layer deposition setup for powder coating

H. Tiznado, D. Domínguez, F. Muñoz-Muñoz, J. Romo-Herrera, R. Machorro, O.E.
Contreras, G. Soto

Abstract

Atomic layer deposition (ALD) provides a method for coating conformal, pinhole-free, chemically bonded, and ultra-thin films on particle surfaces. ALD is based on one or more cycles, each cycle comprising two half-reactions. As such, ALD is a process inherently discrete in time, where the coating thickness can be controlled as a function of number of cycles. A popular scheme for achieving uniform coats on powders is to combine ALD reactors with fluidization conditions. However, fluidization is not easy to attain because it is strongly dependent on particle size, density, morphology, and surface roughness. This article proposes that a pulsed-bed, instead of a continuous fluidization, is easier to achieve in most ALD reactors. Taking advantage of the discrete nature of the ALD process, with simple changes in the configurations of purge and carrier gases, the pulsed-bed mode can be completed. It is presented an adaptation made to a regular ALD reactor to work in this mode. The inclusion of a capsule for powder, valve relocations, and control of times were all necessary modifications. It was found that the pulsed-bed is a very convenient alternative for research purposes, since it can coat powders of different morphological characteristics, such as carbon nanotubes, flower-like ZnO micro-arrays, and YCrO3 particles.

Lam Research Atomic Layer Etch (ALEt) technology in beta-site evaluations

According to a rescent blog post by Ed Korczynski, Lam Research Atomic-Layer Etch (ALE) technology are in beta-site evaluations.

"Atomic-Layer Etch (ALE) technology from Lam Research Corp. is now in beta-site evaluations with IC fabrication (fab) customers pursuing next generation manufacturing capabilities. So said Dr. David Hemker, Lam’s senior vice president and chief technical officer, in an exclusive interview with Solid State Technology and SemiMD during this year’s SEMICON West trade-show in San Francisco. Hemker discussed the reasons why ALE is now under evaluation as a critically enabling technology for next generation IC manufacturing, and forecast widespread adoption in the industry by 2017."
 
 
 
Commonality in the need for ALD and ALE process technologies when IC device dimensions scale to atomic levels.

"As detailed in the feature article “Moving atomic layer etch from lab to fab” in last December’s issue of Solid State Technology, ALE can be plasma enhanced with minor modifications to a continuous plasma etch chamber. The lab aspects including the science behind the process were discussed in a TechXPOT during SEMICON West this year in a presentation titled “Plasma Etch in the Era of Atomic Scale Fidelity” by Lam’s Thorsten Lill based on work done in collaboration with KU Leuven and imec. In that presentation, Lill reminded the attendees that the process has been explored in labs under a wide variety of names: ALET, atomistic etching, digital etch, layer-by-layer etch, PALE, PE-ALE, single layer etch, and thin layer etching."
 
Full store here.

Reflectionless Transmission through Dielectrics by ALD

As reported by The Times of India: Three-year-long targeted research by Tata Institute of Fundamental Research team led by Professor Achanta Venu Gopal with design and simulation input from a senior optics faculty at University of Hyderabad, Professor S Dutta Gupta, has led to the experimental confirmation of a US patent (no: US 7,894,137 B2).
 
The patent is jointly owned by UoH and Oklahoma State University (OSU), with inventors Professor S Dutta Gupta (UoH) and Prof. Girish Agarwal (OSU) and deals with complete transparency of a graded index dielectric film based on the concept of reflectionless potentials. The notion of reflectionless potentials was proposed by Kay and Moses (I. Kay and H. E. Moses, "Reflectionless transmission through dielectrics and scattering potentials," J. Appl.Phys. 27(12), 1503-1508 (1956)). It was extensively used in inverse scattering and high energy physics theory. Incidentally there were very few research efforts in optics.
 
I. Kay and H. E. Moses, "Reflectionless transmission through dielectrics and scattering potentials," J. Appl.Phys. 27(12), 1503-1508 (1956)).
 
The realization of the optical reflectionless potential opens up new directions for a totally new design methodology for omnidirectional broadband optical coatings to enhance the throughput of any optical device. Note that standard antireflection coatings mostly makes use of quarter wavelength plates, and thus cannot be broadband and omnidirectional. The realisation of optical reflectionless potentials was a real experimental challenge in the context of choice of materials and finding the optimal technique. The graded reflectionless profile was achieved with Titanium and Aluminium oxide nano layers since they have high and low refractive indices, respectively, and a proper combination can lead to an effective medium with intermediate desired refractive index.

"We had to explore different layer deposition techniques since nanometer thick layers needed for the profile have different optical properties depending on the technique. We had to use techniques ranging from atomic layer deposition, electron beam evaporation to sputtering. We are still in the process of refining the growth process", says Prof. Gopal.
 
There are other fundamentally interesting properties like superluminal group velocities, pulse narrowing etc., which are being probed. Note that superluminal group velocities do not violate Einstein's principles, since the peaks of the emerging and incident pulses are not causally related.

Tuesday, August 5, 2014

Cornell - The perfect atom sandwich requires an extra layer

As reported by Cornell: Cornell researchers have discovered that sometimes, layer-by-layer atomic assembly – a powerful technology capable of making new materials for electronics – requires some unconventional “sandwich making” techniques.

The team, led by thin-films expert Darrell Schlom, the Herbert Fisk Johnson Professor of Industrial Chemistry in the Department of Materials Science and Engineering, describes the trick of growing perfect films of oxides called Ruddlesden-Poppers in Nature Communications Aug. 4.
 
The left figure demonstrates why the first double layer of strontium oxide is missing when growing a Ruddlesden-Popper oxide thin film. Titanium atoms (yellow) preferentially bond with oxygen atoms (gray) and sit at the center of a complete octahedron, making it energetically more favorable for titanium to switch positions with the topmost strontium oxide layer (red). Because of this, the first double layer of strontium oxide is always missing, and the extra layer rides the surface. By depositing an extra strontium oxide layer first, the desired first double layer is obtained. (source : Cornell)

These oxides are widely studied for their electronically enticing properties, among them superconductivity, magnetoresistance and ferromagnetism. Their layered structure is like a double Big Mac with alternating double and single layers of meat patties – strontium oxide – and bread – titanium oxide – in the case of the Ruddlesden-Poppers studied.

“Our dream is to control these materials with atomic precision,” Schlom said. “We think that controlling interfaces between Ruddlesden-Poppers will lead to exotic and potentially useful, emergent properties.”

Schlom’s lab makes novel thin films with molecular beam epitaxy, a deposition method that controls the order in which atom-thick layers are assembled layer-by-layer, which Schlom likens to precision spray-painting with atoms.
Full story here and Nature abstract below.
 
Atomically precise interfaces from non-stoichiometric deposition
Y. F. Nie, Y. Zhu, C.-H. Lee, L. F. Kourkoutis, J. A. Mundy, J. Junquera, Ph. Ghosez, D. J. Baek, S. Sung, X. X. Xi, K. M. Shen, D. A. Muller & D. G. Schlom   
Nature Communications 5, Article number: 4530, 04 August 2014
     
Complex oxide heterostructures display some of the most chemically abrupt, atomically precise interfaces, which is advantageous when constructing new interface phases with emergent properties by juxtaposing incompatible ground states. One might assume that atomically precise interfaces result from stoichiometric growth. Here we show that the most precise control is, however, obtained by using deliberate and specific non-stoichiometric growth conditions. For the precise growth of Srn+1TinOn+1 Ruddlesden–Popper (RP) phases, stoichiometric deposition leads to the loss of the first RP rock-salt double layer, but growing with a strontium-rich surface layer restores the bulk stoichiometry and ordering of the subsurface RP structure. Our results dramatically expand the materials that can be prepared in epitaxial heterostructures with precise interface control—from just the n=∞ end members (perovskites) to the entire RP homologous series—enabling the exploration of novel quantum phenomena at a richer variety of oxide interfaces.
 
 

Monday, August 4, 2014

New Atomic Layer Deposition (ALD) educational video

A new Atomic layer deposition educational video Image and information sources:

"Atomic Layer Deposition of Nanostructured Materials". WILEY_VCH, ed. N. Pinna and M. Knez
"Atomic Layer Deposition: Principles, Characteristics, and Nanotechology Applications", 2ed, T. Kaarlainen, D. Cameron, M.-L. Kaarlainen, A. Sherman (2013)
S. Narasimha, IEDM 2012 pp. 52-55
wikimedia commons

 
 Screendump from the video (youtube.com)
 
 

Edwards on the need for Vacuum Technologies for ALD and 3D Device Processing

A very interesting blog post on the need for Vacuum Technologies for ALD and 3D Device Processing. Thanks Toni Koblenz for the tip on this one!

Future ICs will use more 3D device structures such as finFETs and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that can produce conformal films on the tops, bottoms, and side-walls of features. New materials are needed as the commercial IC fabrication industry pushes the limits of device miniaturization, while industry consolidation drives the remaining players to use proprietary materials.

Even lithography needs more vacuum processing when double-, triple-, and quadruple-patterning schemes need sidewall spacer and sacrificial hard-mask depositions. Materials deposited in these process steps may not remain on the final chip, but they are nonetheless essential in sub-22nm node process flows.

All of this leads to a need for an unprecedented number of new chemical precursors for vacuum depositions to be simultaneously ramped into high-volume manufacturing (HVM) in fabs worldwide. “If you’re trying to deposit a metal nitride, for example, four different fabs may use four different precursors sets,” explained Kate Wilson, global applications director, Edwards Vacuum Ltd. in an exclusive interview with the Show Daily.
 
 
Pulsed precursors in a vacuum system.

For some ALD process there are conflicting challenges in configuring a vacuum solution, such as one using a MOCVD precursors and a chloride precursor. For the MOCVD molecule the general approach would be to lower temperatures to prevent decomposition, while for the chloride molecule it would be best to use high temperatures to prevent condensation. Some molecules polymerize more at higher temperatures, while condensing more at lower temperatures, so a strategic trade-off must be made.

“Chlorine-based precursors, for example, are quite challenging to abate without creating toxic by-products,” explained Wilson. “Most of the things we abate become a powder, so we have to deal with that powder to ensure that we don’t clog the system.”

Saturday, August 2, 2014

Capillary flow layer-by-layer (CF-LbL) employed by MIT researchers for fast screening of films

Capillary flow layer-by-layer (CF-LbL) - An very interesting publication (ACS Nano, 2014, 8 (7), pp 6580–6589) from Massachusetts Institute of Technology (MIT) on liquid Layer-by Layer growth in a combinatorial approach for fast screening of thin films.

Capillary Flow Layer-by-Layer: A Microfluidic Platform for the High-Throughput Assembly and Screening of Nanolayered Film Libraries
Steven A. Castleberry, Wei Li, Di Deng, Sarah Mayner, and Paula T. Hammond
 
ACS Nano, 2014, 8 (7), pp 6580–6589, DOI: 10.1021/nn501963q
 
 
 
Abstract: Layer-by-layer (LbL) assembly is a powerful tool with increasing real world applications in energy, biomaterials, active surfaces, and membranes; however, the current state of the art requires individual sample construction using large quantities of material. Here we describe a technique using capillary flow within a microfluidic device to drive high-throughput assembly of LbL film libraries. This capillary flow layer-by-layer (CF-LbL) method significantly reduces material waste, improves quality control, and expands the potential applications of LbL into new research spaces. The method can be operated as a simple lab benchtop apparatus or combined with liquid-handling robotics to extend the library size. Here we describe and demonstrate the technique and establish its ability to recreate and expand on the known literature for film growth and morphology. We use the same platform to assay biological properties such as cell adhesion and proliferation and ultimately provide an example of the use of this approach to identify LbL films for surface-based DNA transfection of commonly used cell types.

Friday, August 1, 2014

Perovskite pseudocapacitors for energy storage from Texas

Anion charge storage through oxygen intercalation in LaMnO3 perovskite pseudocapacitor electrodes

J. Tyler Mefford, William G. Hardin, Sheng Dai, Keith P. Johnston and Keith J. Stevenson
Nature Materials Volume: 13, Pages: 726–732 01 June 2014 

 

Abstract

Perovskite oxides have attracted significant attention as energy conversion materials for metal–air battery and solid-oxide fuel-cell electrodes owing to their unique physical and electronic properties. Amongst these unique properties is the structural stability of the cation array in perovskites that can accommodate mobile oxygen ions under electrical polarization. Despite oxygen ion mobility and vacancies having been shown to play an important role in catalysis, their role in charge storage has yet to be explored. Herein we investigate the mechanism of oxygen-vacancy-mediated redox pseudocapacitance for a nanostructured lanthanum-based perovskite, LaMnO3. This is the first example of anion-based intercalation pseudocapacitance as well as the first time oxygen intercalation has been exploited for fast energy storage. Whereas previous pseudocapacitor and rechargeable battery charge storage studies have focused on cation intercalation, the anion-based mechanism presented here offers a new paradigm for electrochemical energy storage.