Thursday, August 30, 2018

How to split nanowires by Atomic Layer Etching

The master thesis by Sabbir A. Khan based on work completed at Lund Nano Lab, Lund University Sweden, has finally been released from secrecy [Patent application WO2017157902A1]. Please find below the abstract and link to the full version. Sabbir is now a Ph.D. candidate in Quantum Materials at Microsoft Quantum Materials Lab (MQML) and Center for Quantum Devices, Niels Bohr Institute, University of Copenhagen, Denmark. There his research focuses on as-grown epitaxial nanowire based networks for scalable quantum computation. The main goal is to develop innovative fabrication techniques that can realize new device concepts based on as-grown nanowire networks.
 

Evaluation of Atomic Layer Etching Possibility at Lund Nano Lab [LINK PDF]
Next you will have a chance to meet Sabbir at the PSE 2018 Tutorial, Plasma assisted atomic level processing – PEALD & ALE Sunday, September 16, 2018 in Garmisch-Partenkirchen, Germany.
Abstract
In modern electronics, device downscaling demands atomic precision control and Atomic Layer Etching (ALE) can provide this prime capability with minute device damage. ALE, also known as layer-by-layer etching, is a technique of removing atomically thin layers from the surface of materials in a controlled way. This technique is now very crucial for nanofabrication and semiconductor industry in order to achieve atomic scale resolution. This is why the overall goal of this diploma project was to investigate the possibility for ALE at Lund Nano Lab and to reveal different limitations with our current equipment. In order to achieve this goal we have done experiments with conventional system used for reactive ion etching. In addition, the ALE has been done on GaP nanowires and on Si surface patterned with high-resolution Electron Beam Lithography (EBL). The results of these experiments indicate that the process can be used to make stamps for nanoimprint lithography in a highly controlled way and that the low ion energy etching process can be used for direct nanowire splitting. We show different limitations for ALE with our current equipment and provide recommendations for new equipment dedicated for this process. In this way, the work presented here opens up the possibility for further studies of ALE with conventional equipment, shows some aspects of it’s importance for nanofabrication and suggests new applications for the ALE processes.
Popular Abstract
Nowadays electronic devices are getting smaller and much more efficient. However, it’s getting much harder to fabricate such small devices. Specifically, device fabrication with feature sizes below 10 nm (a human hair is 100,00 nm wide) is a big challenge. For this, atomic level control is needed. Atomic layer etching (ALE) is one of the key technologies that can provide atomic controlled etching of different materials by a cyclic etching, where an (sub) atomically thick layer is etched in every cycle. There are also other technologies, which have a potential for the sub 10 nm fabrication. For instance nanoimprint lithography, which is alike book printing but for extremely small features in nanometer range, and epitaxial semiconductor nanowires grown from seed catalytic particles by different epitaxial techniques have a very big potential for extremely fine fabrication of nanostructures. These techniques are also very active research areas in Lund and have already enabled many important applications. This is why the combination of ALE with these techniques may open up many new interesting opportunities. For example, the nanoimprint lithography can be only as good as the stamps, which are used for the nanoimprint, and ALE may provide a very good mean of the stamp fabrication with subatomic precision.

Sabbir A. Khan splitting Nanowires at Lund Nanolab using the Oford Instruments Plasmalab 100 System.

Different III-V semiconductor nanowires are very important for their electrical and optical properties. Diameter of the usual nanowires lies in the 40-100 nm range and with ALE it might be potentially possible to shrink the nanowire diameter to the sub 10 nm range. In this research work we used a system for reactive ion etching, similar to the systems, which are widely used in semiconductor industry for semiconductor device fabrication, for testing ALE possibility in Lund Nano Lab. We demonstrated that with this equipment it is possible to perform ALE and used this process for etching semiconductor horizontal nanowires and to make stamps for nanoimprint lithography. Surprisingly, we found that, due to some specific properties of the ALE process and a hexagonal cross section of nanowires, which we used in our experiments, after ALE each nanowire is split in to two very thin nanowires. We believe that here the inclined nanowire surfaces act as a mask for the etch process and that potentially this technique can enable fabrication of ever smaller semiconductor devices in a controllable and industrially relevant way. For instance, a core part of every transistor is a transistor channel and we can foresee that this technique may enable splitting of the transistor channel into two channels without additional expensive and challenging lithographic steps. In this way we may enable further downscaling of transistors in a very economical and practical way by this helping further downscaling of electronic devices

Wednesday, August 29, 2018

Review and perspective on ferroelectric HfO2-based thin films for memory applications

JVSTA Call for Research Articles - Atomic Layer Deposition and Atomic Layer Etching

This special topic collection is planned in collaboration with ALD 2018 and the ALE 2018 Workshop to be held in Incheon, South Korea during July 29—August 1, 2018. The Special Topic Collection will feature sections dedicated to the science and technology of atomic layer controlled deposition and to the science and technology of controlled etching of thin films. While a significant number of articles will be based on material presented at ALD 2018 and the ALE 2018 Workshop, research articles on ALD and ALE but not presented at this conference are also welcome. The special topic collection will be open to all articles on the science and technology of ALD and ALE.

Authors are encouraged to use the JVST templates. Online, you will have an opportunity to tell us that your paper is a part of the Special Topic Collection by choosing either the “ALD Special Topic Collection” or the “ALE Special Topic Collection.”
 
 

Tuesday, August 28, 2018

Stress-free ALD High-k from Picosun

ESPOO, Finland, 28th August, 2018 – Picosun Group, a leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating solutions, reports a method to control and eliminate stress in ALD films.

Various stresses are easily formed in ALD films during the deposition process, either inside the film or between the film and the underlying substrate. As all modern microelectronic devices are basically built by stacking ultra-thin layers of various materials on top of each other, these stresses can be detrimental not only to the film itself but to the other functional layers and structures beneath. Especially in MEMS devices, where cavities and free-standing membranes are often employed, stress-free ALD films, or films where the stress is exactly controlled, are very much sought after. Same applies for IC components, where film strains and tensions can lead to material layers detaching from each other, or bending and buckling of the whole structure. 
 
 
Picosun has now developed a method with which zero stress and controlled stress ALD films can be produced. This sophisticated method is based on intricate tuning of process chemistry and deposition conditions. The desired effect is obtained with right selection of precursor chemicals and process temperature, so no additional process steps such as heat or plasma treatments (which might cause structural damage to the film) are required. Replacing a single material film with carefully designed nanolaminate of materials with opposite stress properties is another way to achieve zero stress layers. These methods have been validated with e.g. HfO2, which is one of the key materials in microelectronics industry. Other ALD materials tested include SiO2, Ta2O5, and TiO2 (*). 


“We are very pleased that we can now offer stress-free ALD HfO2 process to our customers in MEMS and IC industries. Especially medical MEMS is an important market for us, and a prime example of an application area where controlled stress ALD films are needed to enable a whole platform of novel products. Thanks to our unmatched ALD expertise, we have now developed a solution to one of the fundamental challenges in ALD. This will facilitate the implementation of ALD to yet new, exciting applications in health technology and future IC manufacturing,” summarizes Dr. Jani Kivioja, CTO of Picosun Group.

Monday, August 27, 2018

The Swedish Catalysis Society presented the prize to Professor Puurunen in Copenhagen on 27 August 2018.

[Aalto School of Chemical Engineering News] The Berzelius Medal is given in the honour of the famous Swedish researcher Berzelius. The prize winner has to be aged under 45 years, female every second time and working in a Nordic country. Each country can come up with one proposal. Professor Puurunen was proposed by the Finnish Catalysis Society. 
 

Photo: Mika Huuhtanen
 
The candidate should have performed research in the field of catalysis and her/his work should have generate a new concept, idea or explanation; a new way to tackle a scientific problem; or an introduction of a new word or phrase that is significant. Candidates are evaluated by 4 international experts (2 female, 2 male) who will make an evaluation report on them.

"I feel extremely grateful and honoured to receive the Berzelius Prize. The prize is a great recognition for my persistent and on-going work to understand the details of atomic layer deposition (ALD). ALD is a technology which was awarded Millennium Technology Prize 2018 and whose importance is growing in the field of catalysis, too," says Professor Puurunen.

Professor Puurunen received the prize at the 18th Nordic Symposium on Catalysis. The event is organized every othe year and its aim is to create a breeding ground for ideas by ensuring meetings between researchers and catalyst developers, from academy as well as industry, within all aspects of catalysis.

Sunday, August 26, 2018

The Luxembourg Institute of Science and Technology (LIST) will organize the EuroCVD 22 - Baltic ALD 16 from 24 to 28 June 2019 in Luxembourg

The Luxembourg Institute of Science and Technology (LIST) will organize the EuroCVD 22 - Baltic ALD 16 from 24 to 28 June 2019 in Luxembourg. 

Chemical Vapor deposition (CVD) and Atomic Layer Deposition (ALD) are essential and versatile tools for the development of innovative materials and architectures that are the hart of modern nanotechnology. 



The conference subscribes within the biennial series of European CVD conferences, which started in Paris (1977), and the Baltic ALD series launched as Atomic Layer Epitaxy Symposium in Helsinki (1991). From the process and materials development perspectives, this conference will be a showcase of the forefront research addressing up-to-date challenges and stat-of-the-art chemical processing from the gas phase (CVD, ALD, Energy assisted CVD/ALD, MOVPE, RIE, ALE). The conference covers processes that are performed at atmospheric pressure, low vacuum and ultra-high vacuum, and processes that are assisted thermally or with other means such plasma, plasmon, light, electrical field, hot wire, … 

The EuroCVD 22 – Baltic ALD 16 will offer a high quality scientific program with invited and contributed lectures in key development areas based the chemical processing from the gas phase.
More details will be available soon.

Saturday, August 25, 2018

Tyndall National Institute present the first computational study of thermal Atomic Layer Etch of metal oxides by exposure to HF

Modeling the Chemical Mechanism of the Thermal Atomic Layer Etch of Aluminum Oxide: A Density Functional Theory Study of Reactions during HF Exposure

Suresh Kondati Natarajan and Simon D. Elliott
Tyndall National Institute, University College Cork
Chem. Mater., Article ASAP
DOI: 10.1021/acs.chemmater.8b01930, Publication Date (Web): August 9, 2018


Reprinted with permission from (DOI: 10.1021/ACS.CHEMATER.8b01930). Copyright (2018) American Chemical Society.

Thermal atomic layer etch, the reverse of atomic layer deposition, uses a cyclic sequence of plasma-free and solvent-free gas surface reactions to remove ultrathin layers of material with a high degree of control. A theoretical investigation of the hydrogen fluoride pulse in the thermal atomic layer etch of monoclinic alumina has been performed using density functional theory calculations. From experiments, it has been suggested that the HF pulse forms a stable and nonvolatile layer of AlF3 on an alumina surface. Consistent with this, the desorption of an AlF3 molecule from an HF-saturated surface was computed to be energetically unfavorable. HF molecules adsorbed on the alumina surface by forming hydrogen bonds and either remained intact or dissociated to form Al–F and O–H species. At higher coverages, a mixture of molecularly and dissociatively adsorbed HF molecules in a hydrogen-bonded network was observed. Binding energies converged as the coverage of dissociated F became saturated, consistent with a self-limiting reaction. The formation of H2O molecules in the HF pulse was found to be endoergic with an energy barrier of at least +0.9 eV, but their subsequent desorption was computed to cost as little as +0.2 eV. On the basis of a model of the saturated Al–F surface, the theoretical maximum of the etch rate was estimated to be −0.57 ± 0.02 Å/cycle (−20.0 ± 0.8 ng cm–2 cycle–1), which matches the range of maximum experimental values. The actual etch rate will, however, be dependent on the specific reagent used in the subsequent step of the atomic layer etch cycle. 



Monday, August 20, 2018

Overview of Applied Materials cobalt metallization for local interconnects

For those of you interested in the details behind the Applied Materials integrated cobalt metallization process Jonathan Bakke has written two informative blogs about it in Semiconductror Engineering:

(1) The Role Of Cobalt In Enabling AI - For continued performance, power, area and cost improvements, materials need to be engineered at the atomic scale.
(2) The Materials Side Of AI - What comes after tungsten fill for contacts and copper for the lowest-level interconnects?
The integrated cobalt solution using Applied Materials platforms (Applied Materials).

Jonathan Bakke is global product manager for Contact and Middle of Line Products in the Metal Deposition Products Business Unit at Applied Materials. He details the process flow and tool sets from Applied Materials involved in the complete BEOL Co metallization flow:

  • PVD titanium and ALD titanium nitride for the silicide and barrier layers
  • PVD cobalt serves as an anchor layer to ensure good cobalt adhesion to the bottom of the feature
  • CVD cobalt is then used to deposit a conformal film to bulk fill the feature
  • Anneal purifies and reflows the cobalt, removes the CVD seam, and merges crystal grains to form a more crystalline, lower resistance material
  • PVD cobalt for a thick overburden film
  • CMP removes overburden materials to create a smooth planar surface
  • E-beam technology monitors the process and detects voids

Saturday, August 18, 2018

SAVE THE DATE - EFDS ALD For Industry 2019 March 19-20 in Berlin, Germany

ALD for Industry - Tutorial, Workshop & Exhibition

This event provides the opportunity to learn more about fundamentals of ALD technology, to get informed about recent progress in the field and to get in contact with industrial and academic partners. Increase your visibility and present your company in our accompanying exhibition.


19.03.2019 : Tutorial, Exhibition & Tour
20.03.2019 : Workshop & Industrial Exhibition at the Fraunhofer Forum in Berlin Mitte

The event is now open for sponsoring end Exhibition booking. For workshop presentations please contact Jonas Sundqvist (jonas.sundqvist@baldengineering.com).

Sponsoring, Exhibition Contact:
Grit Kotschenreuther
Gostritzer Straße 63 kotschenreuther@efds.org
Phone +49 351 8718372

Fraunhofer Forum in Spree Palais am Dom, Berlin Mitte (Wikipedia)
 
Platinum Sponsors:
 
 

NCD to supply a repeat order of Lucida GS Series for Hyundai Heavy Industry Green Energy in Korea

Korean ALD equipment manufacturer NCD reports repeat order for Al2O3 ALD passivation from Hyundai Heavy Industry Green Energy.

"NCD has recently contracted with HHIGE to supply solar cell manufacture equipment which is Lucida GS Series ALD with automation for high efficiency crystalline solar cell using Al2O3-ALD passivation and is upgrade version improving the throughput and wafer handling speed from previous supplied equipment. Lucida GS Series is batch type ALD deposition equipment that forms backside passivation of Al2O3 on multiple wafers and can process more than 4.500 wafers (@ 4nm thickness) of 156mm x 156mm size per an hour.

This repeat order shows that the customer has had confidence in the technology of NCD and the excellence of the equipment."
The Lucida GS Series with Automation is a high throughput atomic layer deposition system for surface passivation of c-Si solar cells (ncdtech.co.kr)

Wednesday, August 15, 2018

JVST A: Flexible CIGS Solar Cells using Atomic layer Deposition

I remember testing the laboratory-scale rotary spatial-ALD reactor from this innovative at TNO in Eindhoven team lead by Paul Poodt and Fred Roozeboom some years ago and was very impressed then that it was possibly to grow highly conformal films in high aspect ratio structures so fats by ALD. Now their technology has evolved much further - here "Atmospheric spatial atomic layer deposition of ZnOS buffer layers for flexible Cu(In,Ga)Se2 solar cells"

NaMLab present advances in ferroelectric HZO layers for low-power electronics

Recent results by NaMLab in Dresden Germanz, show  a strong potential for further aggressive thickness reduction of HZO layers for low-power electronics.
 
Genuinely ferroelectric sub-1-volt-switchable nanodomains in HfxZr(1-x)O2 ultrathin capacitors

Igor Stolichnov, Matteo Cavalieri, Enrico Colla, Tony Schenk, Terence Mittmann, Thomas Mikolajick, Uwe Schroeder, and Adrian M. Ionescu

ACS Appl. Mater. Interfaces, Just Accepted Manuscript
DOI: 10.1021/acsami.8b07988
Publication Date (Web): August 14, 2018





Tuesday, August 14, 2018

VEECO GEN10 AUTOMATED MBE CLUSTER SYSTEM WINS MAX PLANCK INSTITUTE TENDER, SUPPORTING RESEARCH OF OXIDE-NITRIDE LAYER STRUCTURES

PLAINVIEW, N.Y., August 14, 2018Veeco Instruments Inc. (NASDAQ: VECO) today announced that a dual chamber GEN10™ automated molecular beam epitaxy (MBE) cluster system won the tender offer by the Max Planck Institute of Microstructure Physics, Halle (Saale), Germany (MPI-MSP) to support world-class research on complex oxides. Demand for oxide-nitride layer structures has increased due to their enormous potential in enabling next-generation energy-efficient nano-devices and advanced data storage. The department of Nano-systems from Ions, Spins and Electrons (NISE) at the MPI-MSP will leverage Veeco’s MBE technology to expand research and develop innovative applications.


“Our team is highly interested in exploring the properties of atomically engineered oxide-nitride layer structures especially because of their extraordinary properties but also for their potential in paving the way to novel energy-efficient nano-devices,” said Stuart Parkin, Director of the NISE Department at the MPI-MSP and Alexander von Humboldt Professor, Martin Luther University Halle-Wittenberg, Halle. “Veeco’s reputation and expertise in MBE combined with the GEN10’s high reliability, throughput, customization and automation capabilities will help support our research into novel materials.”
This win at MPI marks the first time Veeco has provided a fully integrated solution for a concentrated ozone source. The GEN10 allows for up to three configurable, material-specific growth modules, enabling high system utilization and allowing multiple researchers use the system at the same time to perform unattended growth. By expanding its reach in the R&D sector worldwide, Veeco is leading the way in helping grow complex oxide structures.
“As our MBE systems continue to expand their footprint in the global R&D space, we are honored that Veeco’s GEN10 MBE system was selected by the highly respected Max Planck Institute of Microstructure Physics in Halle,” noted Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We are pleased with the confidence Dr. Parkin and his team placed in our MBE expertise and we look forward to supporting the MPI-MSP as it continues to lead R&D exploration and applications for complex oxides.”
About Veeco
Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch & clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets. To learn more about Veeco's innovative equipment and services, visit
www.veeco.com.

Monday, August 13, 2018

Virtual Issue: In Honor of Professor Markku Leskelä

This virtual issue celebrates Professor Markku Leskelä (University of Helsinki, Finland) and his decades-long career in the field of Atomic Layer Deposition (ALD). Prof. Leskelä has been the most productive ALD researcher through the history of ALD, and in 2004 he was nominated as an ISI Highly Cited Author in the field of materials science. He directed the Finnish Centre of Excellence in Atomic Layer Deposition (2012-2017) and received the American Vacuum Society ALD Innovation award in 2012.



The papers selected for this virtual issue in honor of Prof. Markku Leskelä are in two sections: one half authored by him and his coworkers, and the other half of the papers were collected by inviting researchers active in ALD chemistry to nominate a paper of their own where they feel they have been influenced by Prof. Leskelä's work. Some of Leskelä's papers are old enough to have gained a great number of citations, some others are very recent that we believe will gain similar attention in the coming years. Besides his ALD publications, a small selection on luminescent materials and organometallic catalysts are included to give some flavor of Prof. Leskelä's research interests and productivity outside ALD. 
 
Virtual Issue: In Honor of Professor Markku Leskelä
Mikko Ritala, Han-Bo-Ram Lee, Jillian Buriak, and Seán T. Barry
Chem. Mater., 2018, 30 (14), pp 4469–4474
DOI: 10.1021/acs.chemmater.8b02742

Friday, August 10, 2018

Is the semiconductor industry preparing for ruthenium again?


As cobalt is being implemented for 10/7 nm logic interconnects, the next contender on roadmaps for the leading IDMs and foundries is ruthenium. This is not the first time that ruthenium comes into play, ruthenium has on regular basis been on the DRAM and Logic manufacturers roadmaps. Last year there were several indications that ruthenium is back again including that you could spot a rice in ruthenium metal pricing. However, since I started in the semiconductor world 2003 I think that I have managed to be part of six ALD/CVD ruthenium programs and I am happy that one of them is still running (this was my shortest participation, all in all 7 days).

So why do you want to use an expensive and rather fancy metal like ruthenium in interconnects? The lowest Ru resistivity reported for use in interconnects is 15 μΩ-cm, at a cross-sectional area of 300 nm2. Ru damascene metallization is extendible to features with critical dimension around 10 nm and Ru may match Cu line resistance for line dimensions below ~17 nm.

Therefore, as semiconductor devices become even smaller at sub 7 nm nodes, Ru is a strong candidate for replacing some of the back end copper and middle of the line tungsten or ultimately cobalt as the interconnect material or as a liner/barrier/seed for metallization.

At AVS ALD 2018 in Incheon South Korea had a high number of presentations on ruthenium. Besides the oral presentations here below, there were also a number of interesting posters. You can get the abstracts by searching "ruthenium" in the AVS ALD conference planer (LINK).

Low Temperature Atomic Layer Deposition of Ru for Copper Metallization [Oral]
Anil Mane‚ Yan Zhang (Argonne National Laboratory); Amit Kumar‚ John Allgair (BRIDG); John Hryn‚ Jeffrey W. Elam (Argonne National Laboratory)

Insight in Surface Dependence and Diffusion-mediated Nucleation Mechanism of Ruthenium Atomic Layer Deposition on Dielectrics
Job Soethoudt (KU Leuven‚ Belgium); Yoann Tomczak (IMEC‚ Belgium); Fabio Grillo‚ Ruud Van Ommen (Delft University of Technology‚ Netherlands); Efrain Altamirano Sanchez (IMEC‚ Belgium); Annelies Delabie (KU Leuven‚ Belgium)

Inherent Substrate Selectivity and Nucleation Enhancement during Ru ALD using the RuO4-Precursor and H2-gas.
Matthias Minjauw‚ Hannes Rijckaert‚ Isabel Van Driessche‚ Christophe Detavernier‚ Jolien Dendooven (Ghent University‚ Belgium)
 
Conformal Growth of Low-resistivity Ru by Oxygen-free Thermal Atomic Layer Deposition [Oral]

Guo Liu‚ Jacob Woodruff‚ Daniel Moser (EMD Performance Materials)

Ruthenium: Advanced Nodes and Supply Chain Implications [Oral]
Oliver Briel‚ Don Zeng‚ Andreas Wilk (Umicore AG & Co. KG‚ Germany)
 
The last contribution by Umicore is especially interesting since it explain in great details the whole supply chain of ruthenium today including:
  • Ruthenium in electronic applications
  • Todays Ruthenium market - Platinum Group Metals market
  • Market drivers, Sources, uses, supply vs. demand,
  • Managing Ruthenium in your precursor portfolio
  • Sourcing strategies

Umicore Tweet: Oliver Briel's fascinating talk on ‘: Advanced Nodes and Supply Chain Implications’ (LINK).

Another event taking place this summer was the Imec US Technology Forum in San Fransisco, also here ruthenium was again on the agenda. According to a recent article in C&EA (LINK), reporting from the annual Imec Technology Forum, Imec experts made the case that the metal ruthenium has potential to replace copper in interconnect. Such a replacement could prevent the semiconductor industry from tripping over a wiring problem in coming years. The main information was given in a talk by Zsolt Tokei - Program Director Nano-interconnect, imec:


New Conductors - Reality or not? [LINK]
For several decades Cu, Al and W were used for interconnect wiring. Recently, due to resistance and reliability concerns alternatives to conventional conductors gained significant interest. Alternative metals are of interest to both memory and logic chips. In this talk imec’s conductor research activities will be showcased with a few implementation examples using damascene or subtractive processes. Benchmark to conventional conductors as well as future perspectives will be provided.

Before that there was also the IITC 2018 and there ruthenium was on the agenda as well. One interesting presentation was the Adelman et. al also from Imec, “Alternative Metals: from ab initio Screening to Calibrated Narrow Line Models” (LINK).
So as for now, ruthenium is on the roadmaps for 5 nm and below but not yet implemented in HVM by any Foundry. However there is a reverse engineering report claiming that ruthenium has been found in Intels 10 nm technology [LINK].




Further reading : Ruthenium Liners Give Way To Ruthenium Lines (LINK)

Much more detailed information on ALD/CVD metal precursors : TECHCET LLC Critical Materials Report(TM) on Metal & High-k  CVD and ALD precursors (LINK)