Thursday, August 9, 2018

Meaglow Introduces its Hollow Cathode Plasma Sources to Four New Countries

While ALD2018 was going on in Incheon, South Korea, the first Meaglow hollow cathode plasma source in South Korea was being installed at Hanyang University in Ansan.

This is one of a number of firsts for Meaglow this year, we’ve also had our first sale to Israel (to Ben-Gurion University of the Negev), our first sale to Gerrmany (to Otto-von-Guericke University, Magdeburg) and our first sale to the United Kingdom (to the University of Liverpool). All of these sales have been for Meaglow’s popular Series 50 Plasma Source, which is used by many of our customers to upgrade from ICP to hollow cathode plasma operation.

Contact us at info@meaglow.com to learn more about the benefits of Meaglow’s plasma technology.


Monday, August 6, 2018

Veeco Firebird(TM) - Batch Thermal ALD for High Volume Production

Earlier this year Veeco launched their new platform for Batch ALD for high volume production and now you can find more details on the Veeco product offering pages: LINK

Firebird - Batch ALD for High Volume Production

The Firebird™ system is a fully automated batch production ALD platform delivering superb uniformity with best-in-class throughput at the lowest possible cost-per-wafer. Integrating proven Veeco automation solutions, it enables safe wafer handling via low-impact batch transfer. Its modular pre-heat & cool-down design enables a flexible thermal management solution tailored around your specifications. The Firebird™ system’s high capacity reactor(s), low consumables/maintenance costs and compact footprint deliver the most cost-effective solution while exceeding your throughput requirements.

Firebird™ - ALD System for Specialized Wafer Production 

Key features include:

  • Ideal for oxide films, including encapsulation & barrier layers, optical coating
  • Best-in-class throughput (up to 40,000 wafers per month)
  • Proven Veeco automation
  • Safe wafer handling for fragile / temperature sensitive substrates (e.g., LNO / LTO / glass)
  • Modular thermal management for optimal process flexibility and throughput
  • Worldwide sales, service and support

Configurability advantage

The modular system configuration can be effectively tailored to minimize process flow bottlenecks and offers outstanding processing flexibility.

 2 reactors, 1 heat-up module

1 reactor, 2 heat-up modules

Friday, August 3, 2018

Entegris White Paper - Entegris Silicon Precursor Toolbox for Low-temperature Deposition

Integris has published a white paper on low temperature silicon precursors:

[Introduction] The drive toward making electronics faster, denser, and cheaper continues unabated. Shrinking device dimensions and changes in structure place additional demands on the materials used in all steps of semiconductor processing, including depositing silicon nitride (SixNy, or SiN) and silicon oxide (SiO2) films. With horizontal dimensions of transistors already near their lower limit, the path forward for Moore’s Law requires building upward. Increasing use of FinFET transistor structures and 3D NAND memory devices is driving the move from planar coatings on horizontal surfaces to conformal coatings on vertical and topologically complex surfaces. Aspect ratios are growing to the point where conformal coating performance is becoming a potential roadblock.

Silicon nitride and oxide films serve two primary types of functions in semiconductor device fabrication. Some are used for patterning, and others are used for electrical insulation. Within these broad categories, each application comes with a slightly different set of challenges. In this white paper, we explain the role of precursors in depositing highquality silicon-containing films under a wide range of challenging conditions.
 
Full source and download : LINK
 
 

Awards at ALD / ALE 2019, Incheon South Korea

Congratulations - The ALD Innovator award “For Original Work and Leadership in ALD” was given to Prof. Hyungjun Kim at School of Electrical and Electronic Engineering at Yonsei University (LINK). Today he is managing the NCNT semiconductor lab and leads research in Graphene, Nanoscale semiconductor devices, Nano thin film deposition by ALD, Thin film characterization, Solar cell, Flat panel devices (Thin film transistor). 


Prof. Hyungjun Kim at School of Electrical and Electronic Engineering at Yonsei University

Previous Years this award has been given to:

  • 2011: Roy Gordon
  • 2012: Markku Leskela
  • 2013: Steve George
  • 2014: Hyeongtag Jeon
  • 2015: Greg Parsons
  • 2016: Suvi Haukka
  • 2017: Jeff Elam



Team Helsinki University was awarded with a presentation and poster award to Georgi Popov and Chao Zhang.

“Atomic Layer Deposition of Lead(II) Iodide,” Georgi Popov et al (University of Helsinki‚ Finland)

"Area-Selective Atomic Layer Deposition of Zinc Sulfide Based on Inherent Selectivity" Chao Zhang et al (University of Helsinki‚ Finland)




In addition there was a number of other awards but the AVS page have not announced those winners yet. However, worth mentioning is that Peter King was awarded with losing a bet to a Canadian. This award has previously gone to Jonas Sundqvist (BALD2014). Mysteriously though, there is still no picture around with Sean Barry sporting a Swedish Bow tie...




AVS ALD 2019 / ALE 2019 to be held in Bellevue, Washington, USA

As announced at ALD 2018 in  South Korea just recently, Prof. Sumit Agarwal (Colorado School of Mines) and Dennis Hausmann (Lam Research) will be the Chairs of the next year AVS ALD Conference July 21-24 2019 Bellevue, Washington, USA. The City of Bellevue is just across Lake Washington from Seattle. Craig Huffman (Micron) and Prof. Gottlieb Oehrlein (University of Maryland) will chair the ALE Workshop.

For those of you attending ALD2012 you may remember that the Conference was held in the Westin Bellevue.



From Twitter (LINK): Sumit Agarwal and Dennis Hausmann Chairs of session in announcing the next year conference. July 21-24 2019 Bellevue, Washington, USA

Thursday, August 2, 2018

Beneq present how to minimize circuit board maintenance with ALD

ALD for moisture protection

Wherever we have electronics, we have circuit boards (CB). The ever-increasing demand for higher performance of electronics in more demanding environmental conditions is pushing the limits of current circuit board packaging technologies’ performance. If the circuit boards are designed and assembled well, they should endure in the environment they are intended to work in. However, in new challenging environments, CBs may need maintenance more often than would be desirable. Usually moisture and temperature are the greatest threats to circuit boards and they accelerate failure generation.


Electrochemical migration (ECM) is one nasty phenomenon in circuit assemblies. What it needs to be manifested is moisture, electrical current and conductive residues. When an electronic product is in use, there is obviously current available for the ECM to happen. To effectively prevent ECM, one needs to either eliminate moisture or the residues.

Full article: Beneq Blog (LINK)

Overview of In situ Studies of ALD Processes & Reaction Mechanisms

Roger Bosch and Prof. Kessels from TU Eindhoven just recently published a fantastic blog post on Atomic Limits covering the Tutorial “In situ Studies of ALD Processes & Reaction Mechanisms” that Prof. Kessels presented at the recent ALD 2018 Conference Incheon, South Korea (July 29 – August 1, 2018.

Please follow these links and enlighten your self on the follwoing topics:



Wednesday, August 1, 2018

ALD equipment manufacturers tweets at ALD 2018

This year, the ALD conference (ALD 2018) took place Sunday, July 29-Wednesday, August 1, 2018, at the Songdo Convensia in Incheon, South Korea. The ALD conference did again incorporate the Atomic Layer Etching 2018 Workshop (ALE 2018).

Here is a collection of Tweets relating to the ALD equipment manufacturers presenting and exhibiting at the conference. Please let me know if I missed any!

Pisosun and NSI opening up

ALD Nanosolutions : LINK 
Beneq : LINK 

Kurt J Lesker : LINK
Lam Research: LINK

Oxford Instruments : LINK


Picosun Oy : LINK 

Tokyo Electron : LINK 
Wonik IPS : LINK 


Monday, July 30, 2018

Gelest Announced Diiodosilane Commercialization for PEALD Silicon Nitride

MORRISVILLE, Pa., July 27, 2018 — Semiconductor material Gelest Inc. has announced the commercializing of diiodosilane to meet the global demand of the semiconductor industry for next-generation semiconductor chips.

Diidosilane (LINK)

Gelest’s dedicated diiodosilane plant in Morrisville is fully operational and can produce commercial quantities of diiodosilane with purity in excess of 99.9 percent. Diiodosilane is a key chemical precursor used by semiconductor companies worldwide in the development and scale-up of next-generation semiconductor chips that require high-throughput, highly conformal silicon nitride thin films.

Diiodosilane is typically deposited by plasma-enhanced atomic layer deposition to create the silicon nitride thin film. Gelest sees a significant increase in demand for high purity diiodosilane driven by development and production of semiconductor chips requiring silicon nitride thin films at low thermal budgets.

Gelest is a manufacturer of specialty materials for the semiconductor industry.

Source : Photonics LINK

Gelest is sponsoring and exhibiting at the AVS ALD2018 conference currently taking place in South Korea (LINK)  

Friday, July 27, 2018

RASIRC low temperature ALD of silicon and metal nitrides

Silicon and metal nitrides are extensively used in the semiconductor industry in manufacturing of logic and memory chips that you will find in all smartphones, laptops, PCs and internet servers as well as any IoT device. Silicon nitride (SiN) is used as dielectric insulating layers, device layers, liners, spacers, etch hardmasks, etch stops, on the wafer backside to compensate wafer bow and many additional applications. Metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) have applications as electrodes in capacitors, high-k metal gate transistor stacks, hardmasks, metal diffusion barriers, and as liner/seed for metal contact deposition.

In particular, TiN has been shown to act as an efficient diffusion barrier to WF6 during W metal fill. Similarly, TaN is commonly utilized as a diffusion barrier to Cu on low-k insulators, as Cu can readily diffuse into insulators lowering device reliability.

Traditionally SiN has been deposited by PECVD or LPCVD but since the introduction of ALD in high volume manufacturing in 2004, many CVD processes have been replaced by ALD processes. However, in the case of thermal ALD it has proven difficult to find a silicon precursor that works adequately below 600 °C limiting use above transistor level.

Metal nitride applications that do not require low resistivity film use metal organic precursors. For example, TDMAT grown films are useful for low temperature backend processing where using metal-halide precursors, such as TiCl4, is prohibitive due to issues of metal corrosion and the need for high process temperature.

Metal halide precursors, plasmas and higher process temperatures are used to reduce film resistivity in both CVD and ALD processes. However, the general trend in semiconductor processing is to reduce the thermal budget. Therefore plasma CVD and ALD processes have been deployed.

Plasma enhanced processes have disadvantages vs thermal processes when it comes to two things in particular:
  • Relatively lower step coverage because the plasma species tend to recombine fairly fast as these travel down into deep and narrow structures 
  • Plasma damage – the plasma may damage the surface or device layer below as well as promote growth of interfacial layers
For these reasons the semiconductor industry has actively looked into alternative and more effective co-reactants to reduce temperature required to deposit high quality films. In recent years Prof. Winter and co-workers at Wayne State have presented a number of processes using novel co-reactants (LINK) and some have investigated using hydrazine or hydrazine derivatives to push down the thermal activation barrier to lower temperatures. However, any rocket scientist out there should know that hydrazine is pure rocket fuel and very dangerous to handle and therefore has a number of restrictions for import, logistics and fab safety requirements. To safely handle and facilitate hydrazine in high volume manufacturing has been a challenge taken on by RASIRC and they have proven their BRUTE® Hydrazine Technology (see details further down) for both SiN, TiN and TaN as showcased below.

Low temperature thermal ALD of silicon nitride

As mentioned above, PEALD of SiN is increasingly important to be able to grow high quality films below 400 °C but suffers from poor 3D conformality in extreme high aspect area structures and dense high surface area arrays.

RASIRC in collaboration with The University of Texas, Dallas has recently developed a low temperature thermal ALD process using the standard silicon precursor in CVD, HCDS and their new hydrazine formulation for a liquid source anhydrous hydrazine in a proprietary solvent. The technology delivers a high purity (less than 800 ppb water) into the carrier gas flow with a high vapor pressure of 12-14 Torr at R.T.. For more detail see “Titanium Nitride ALD using Ultra-high Purity Hydrazine at Low Temperature” presented at AVS 2017 in Orlando, Florida.

In a custom made ALD reactor the source was used to demonstrate thermal ALD at 250 to 400°C with high refractive index and a GPC in the range of 0.4 to 0.5 A/cycle. Further densification of the films by a novel densification treatment improved the refractive index from ~1.8 to above 1.9 (Figure 1).



Figure 1 – The ALD process window for thermal SiN ALD using HCDS and hydrazine

XPS analysis proved that the contamination level of chlorine was ~1% and oxygen in the range of 3-6%. The films were also N-rich in stoichiometry. Wet etch rate in diluted HF was as low as 0.3-0.5 nm/min and lower than PEALD SiN reference samples, indicating denser higher quality films.

Low temperature thermal ALD of titanium and tantalum nitride

In collaboration with University of California, San Diego and Applied Materials, RASIRC has also investigated thermal ALD of TaN and TiN using hydrazine as a reactive N-containing source. They have been able to show ultralow/low temperature growth for:
  • Down to 100 °C of TaN using tris(diethylamido)(tert-butylimido) tantalum (TBTDET). According to XPS nearly stoichiometric Ta3N5 films were deposited with below 10% O and 5% C incorporation (Figure 2).
  • Stoichiometric TiN films growth at 300 °C with tetrakis(dimethylamido)titanium (TDMAT). 
  • Uniform, highly conductive, nearly stoichiometric films of 0.44 nm RMS roughness were deposited using titanium tetrachloride (TiCl4) at temperatures ranging from 300-400ºC. Compared to NH3 grown films, N2H4 grown films showed lower resistivities with fewer impurities.


Figure 2 - TaNx deposition from TBTDET + N2H4. a) XPS of 100 cycles of TBTDET and N2H4 at 100ºC, 150ºC, and 300ºC. b) The Ta 4d XPS peaks after 6 pulses of TBTDET and after 15 TaNx cycles. The initial 6 pulses confirmed interfacial Si-O-Ta bond formation, while after 15 cycles an ~2eV shift is seen consistent with formation of Ta-N bonds. (c) 15 minutes of atomic H were enough to remove the carbon that was accumulating on the surface during deposition. (d) Ta 4p3/2 / N 1s region showing the N 1s component shifting and narrowing as a function of temperature.

Compared to NH3 grown films, XPS confirmed N2H4 grown films contained fewer O, C, and Cl impurities consistent with lower resistivities being observed with N2H4. The data is consistent with N2H4 serving as reducing agent and a good proton donor to Ta and Ti ligands.

BRUTE® Hydrazine Technology

BRUTE® Hydrazine provides a stable, reliable flow of anhydrous hydrazine gas from a liquid source in a sealed vaporizer. The liquid source combines hydrazine and a proprietary solvent for stability. Hydrazine gas is swept to process via the pressure gradient or by an optional carrier gas. The RASIRC BRUTE® Hydrazine Technology includes features the following (Figure 3):
  • New formulation, delivery method for ultra-dry hydrazine vapor
  • Liquid source: Anhydrous hydrazine + Proprietary solvent 
  • High purity hydrazine vapor: <800ppb water contamination 
  • Vapor pressure: 12-14 torr at R.T. 
  • Higher reactivity than NH3
Figure 3 - Novel delivery system for ultra-dry hydrazine vapor (left) and Vapor Pressure of BRUTE Hydrazine (right)

Meet RASIRC!

RASIRC are frequent active participants at ALD conferences and workshops. The next opportunity to meet them face to face is at ALD 2018 in Incheon South Korea. The company is exhibiting, presenting and has a poster session. In addition, Chief Technology Officer Dan Alvarez will be moderating a session on ALD Fundamentals. RASIRC CEO Jeff Spiegelman says, “We look forward to continuing our participation and support of the ALD community.”

















Thursday, July 26, 2018

Picosun’s ALD solutions make quality watches tick

ESPOO, Finland, 26th July, 2018 – Picosun Group, a leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating solutions, solidifies its position in the watchmaking market with repeat production system sales to prominent, high-class timepiece manufacturers.
 
Coating of watch parts is a prime example of ALD’s novel, innovative applications outside of the traditional wafer-based semiconductor manufacturing. It shows perfectly the flexibility and adaptability of the technology, and how several surface functionalities can be obtained with a single ALD coating. ALD films can be used to give the coated object a lustrous color which, due to the films’ excellent uniformity and conformality, is even all around the object and doesn’t vary between objects or batches. Also, the nanometer-scale tunability of the film thickness and the wide range of ALD materials create almost endless spectrum of colors to choose from. Alternatively, coatings that do not alter the object’s original color can be produced. Another key aspect is the protective function of the ALD film. As the ALD films are intrinsically pinhole-, crack- and defect-free, they form a hermetic encapsulation around the coated object. This prevents tarnishing and corrosion. As both color-tuning and surface protection can be attained with the same ALD film, material and process time savings are obtained. As a gas-phase method where relatively small amounts of process chemicals are needed and the process end products can be efficiently eliminated and neutralized, ALD is also an environmentally friendly technique.

Obviously, watchmakers are not the only ones who have adopted ALD as a key part of their manufacturing process. Other three-dimensional items such as machinery parts, metallic surgical implants and other metal items, and collector coins are routinely coated using Picosun’s production ALD solutions especially designed and optimized for these applications. Jewelry manufacturers also use ALD to produce anti-tarnish surface finish to their products.

When large amounts of objects need to be coated economically, batch size is what counts. PICOSUN™ P-300B and PICOSUN™ P-1000 production ALD systems are developed for fast, cost-efficient, high volume processing of various 3D items and they have already proven their capability and reliability at several industrial production sites around the globe for years.

“Picosun’s goal is to provide agile, comprehensive, and production-proven ALD technology throughout the global industrial field. ALD solutions for non-wafer 3D objects such as watch parts are Picosun’s specialty, an area where we have worked in close collaboration with our customers already for a decade. This has gained us the leading know-how and experience in this particular application area, making Picosun the go-to partner for all manufacturers seeking for a revolutionary way to improve their products to the cutting-edge of their respective markets,” says Mr. Juhana Kostamo, Managing Director of Picosun Group.

Thursday, July 19, 2018

SVC TechCon 2019 Long Beach California has a new session for Atomic Layer Processes

The SVC has added a session entitled Emerging Technologies and Plasma Processes Focused on Atomic Layer Processes to its 2019 TechCon in Long Beach, California (LINK).

Joint Session of Emerging Technologies and Plasma Processes focused on Atomic Layer Processes (ALP) 

Over the last few years, atomic layer processes (ALPs), including atomic layer deposition (ALD),  etch (ALEt), and chemical modification, have increased in importance, enabling many new products and applications. With precise control and versatility, ALPs have become indispensable nanoscale manufacturing processes and the self-controlling aspects of these processes are finding microelectronics applications in high insulation thin-films, thin film transistors, and nanostructuring. ALP processes are becoming enabling technologies for precise coating and producing nanostructures; special properties of such a billionth of a meter in size structure hold immense technological application potential. However, ALPs are not limited for coating of inorganic thin-films. Recent advances in low temperature processing makes this methods also attractive for the coating of biomaterials. 
 
 
With this joint session of Emerging Technologies and Plasma Processes we are in a unique position to bundle expertise and synergies for technological breakthroughs, new trends, and innovations. We are soliciting contributions to this session in the following areas: ALP fundamentals, innovative application of established ALD technologies, or creative new developments in ALP technologies. Advanced ALP technologies which successfully cross over from early‐stage feasibility studies into commercially viable industry solutions are also of interest.

Deadline for Abstracts: October 5, 2018

Topics of this joint session between the SVC’s Plasma Processing and Emerging Technologies TACs will include:
  • Fundamental aspects for atomic layer processes (ALPs)
  • Atomic layer processes for diverse applications
  • Plasma-enhanced processes
  • Innovations in methods and progress in upscaling of atomic-/molecular-layer processes (ALD/ ALEt / MLD) towards high-volume industrial applications
  • Novel concepts and technologies for ALP process control, monitoring and thin-film characterizationModelling of atomic layer processes
  • Combined coatings of PVD and ALP
  • Advanced ALP thin-film processing, challenges and applications
  • Creative new business concepts or market perspectives that accelerate transfer of ALP technologies from lab-scale to commercial viability
 
We welcome contributed talks and posters for these areas, and always consider new and innovative topics that advance the use of thin film processing in atomic layer processing.

TAC Chairs:
 
Manuela Junghähnel, Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma
Technology FEP, Germany, manuela.junghaehnel@fep.fraunhofer.de

Lenka Zajickova, Central European Institute of Technology, Masaryk University, Czech Republic,
lenkaz@physics.muni.cz

SVC TechCon Background
 
The SVC TechCon will be in sunny Long Beach, California for 2019! SVC has a new venue and our Symposium topic will encompass a broad range of commercially relevant issues and technologies. SVC offers an industryleading technical exhibition, abundant networking opportunities, with an extensive educational program and in-depth technological expertise. SVC provides you with a great opportunity to present your latest research results, coating processes and equipment applications in the field. We invite you to share your latest R&D and application successes with the SVC community. 

The TechCon offers a broad range of presentation options – technical talks or posters, vendor innovation presentations – which can accommodate the full spectrum of academic research and industrial product innovations. This is complemented by our publication options of conference proceedings or a peer-reviewed publication. The SVC Student Sponsorship Program provides financial support for a limited number of qualified applicants to encourage student participation. 


Tuesday, July 17, 2018

Picosun - The ALD Company

While waiting for the ALD2018 in Korea starting you should have a look at the new Picosun promotional video. You can see a number of cool ALD reactors passing by in the background.


Wednesday, July 11, 2018

ASM launch ALD Pulsar and PEALD Emerald on XP8 multi-chamber platform

New System Integrates Core Pulsar® and EmerALD® Capabilities with Higher Productivity, Enabled by Multi-Chamber 300mm XP8 Platform

SAN FRANCISCO, California - ASM International N.V. (Euronext Amsterdam: ASM) today announced the Synergis®atomic layer deposition (ALD) tool for advanced-node logic and memory high-volume production applications. The latest addition to ASM's industry-leading line of ALD tools, Synergis leverages ASM's core ALD hardware and process technology, optimized over more than a decade in volume manufacturing, to address a wide range of thermal ALD applications.


Demand for ALD solutions is growing, as it enables the use of new materials and designs for advanced chip manufacturing. The new Synergis system is designed to address a number of key ALD equipment challenges, by providing superior thermal control of the reactor environment, delivery of low-vapor-pressure precursors, purge efficiency and reduced preventive-maintenance cycles. As a result, Synergis delivers excellent conformality and film uniformity with high throughput and low per-wafer cost.

HERALD SUMMIT 2018 Extended Deadline abstract submission for poster presentation

Extended Deadline for abstract submission for poster presentation - 27th July 2018.

Saturday, July 7, 2018

ALD/CVD Precursors Market Reaches $1.3B by 2023

SAN DIEGO, July 6, 2018 /PRNewswire-iReach/ -- TECHCET—the advisory services firm providing electronic materials information— announced that strong growth in IC fabrication demand for atomic-layer deposition (ALD), chemical-vapor deposition (CVD), and spin-on dielectric (SOD) precursors should result in a combined global market size of US$1.3 billion by the year 2023. Specifically, metal precursors are expected to see a compound annual growth rate (CAGR) of 6.2 percent through 2023 starting from $460 million in revenues for this year, as detailed in the latest TECHCET Critical Materials Report (CMR). The market for dielectric materials is forecasted to be $465 million this year with CAGR of 8.2 percent through 2023, as detailed in the latest CMR. 


"Plasma enhanced CVD and ALD processes drive increasing demand for silicon precursors, used in depositing the 32-72 layers of 3D-NAND chips and in self-aligned multiple patterning for advanced logic and memory chips," explained Dr. Jonas Sundqvist, TECHCET senior analyst and author of the report. "We see a need for more advanced ALD/CVD precursor production in China, to support more leading-edge logic and memory fabs ramping production there."

Cobalt (Co) metal is being used to encapsulate copper on-chip multi-level interconnects in the most advanced logic fabs for both foundries and IDMs. Intel is using full cobalt interconnects to replace some of the copper levels in it's newest logic chips. The conservative demand forecast for cobalt metal in the form of ALD/CVD precursors for logic IC fabs is $25 million in 2018, with considerable growth to $70 million by 2023.

Due to the competitive demand for cobalt needed for the lithium batteries used in EVs, and due to conflict issues in the supply-chain, cobalt metal pricing is volatile and reliable forecasting is correspondingly difficult. To anticipate supply:demand imbalances, TECHCET tracks ALD and CVD precursor demands in competing high volume manufacturing (HVM) industries such as flat-panel display, photovoltaics, MEMS, and LED.

Suppliers covered in this report include: Adeka, Air Liquide, Azmax part of Azuma group, BASF, DNF "Dream New Future", Dow Corning, Digital Specialty Chemicals (DSC), DowDuPont, Entegris, Epivalence, FujiFilm, Gelest, H.C. Starck, Kojundo Chemical Laboratory, Merck's EMD Performance Materials, Nanmat Technology, Norquay Technology, Nova-Kem, Nanogen Solutions, Pegasus Chemicals, Praxair, Soulbrain, STREM, TCI Chemicals, Tri Chemical Laboratories, Umicore, UP Chemical, Versum Materials.

Purchase Reports Here: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact Diane Scott at info@cmcfabs.org +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.

Media Contact: Lita Shon-Roy, TECHCET CA LLC, 1-480-382-8336, info@techcet.com

News distributed by PR Newswire iReach: https://ireach.prnewswire.com

Friday, June 29, 2018

Tutorial Plasma assisted atomic level processing – PEALD & ALE at PSE2018

Plasma assisted atomic level processing – PEALD & ALE , Sunday, September 16, 2018

The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, the Netherlands, in cooperation with Jonas Sundqvist, Fraunhofer IKTS, Dresden, Germany.

9:00 - 9:30

Introduction
Adriana Creatore, Eindhoven University of Technology, the Netherlands
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
9:30 - 11:00

“Overview of thin film deposition and nanofabrication by atomic layer deposition”
Adrie Mackus, Department of Applied Physics, Eindhoven University of Technology, the Netherlands
11:00 - 11:30 Break
11:30 - 13:00

“Plasma atomic layer deposition: basics, mechanisms and applications”
Harm Knoops, Oxford Instruments Plasma Technology, United Kingdom and Department of Applied Physics, Eindhoven University of Technology, the Netherlands
13:00 - 14:00 Lunch
14:00 - 15:30

“Principles, basics and practical examples of Plasma Atomic Layer Etching”
Sabbir Khan, Department of Physics, Lund University, Sweden
15:30 - 16:00 Break
16:00 - 17:30

“Plasma-ALD and ALE processes in high volume manufacturing and emerging applications”
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
17:30 End of the tutorial

Thursday, June 28, 2018

Picosun storms over the Asian ALD production market in several key application areas

ESPOO, Finland, 28th June, 2018 – Picosun Group, a leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, breaks through into industrial production in Asia in several new, key application areas.

The applications relate to display manufacturing and telecommunications, and a novel approach to use ALD to improve chip quality diagnostics. 
 
 
The rapidly increasing popularity of smartwatches, wearable activity and health monitors, smartphones, and other small, portable electronic devices equipped with a screen puts a new kind of pressure to display manufacturers. A next generation of solutions needs to be developed, where the image quality, brightness, contrast, and resolution are not compromised even if the screen size gets miniaturized. Advanced LED, micro-LED, OLED, and TFT LCD (thin film transistor liquid crystal display) technologies answer this need but also create more challenges. One is passivation and encapsulation of the devices against ambient conditions, air and moisture. This is where ALD shows its strength. The superior conformality(*) and pinhole-free nature of the ALD films, and the possibility to perform the film depositions at moderate temperatures make ALD the ideal technology for advanced display manufacturers.

The same qualities, conformality and uniformity of the coatings over the smallest nanoscale features, trenches and voids, advocate ALD’s use in protection and passivation of semiconductor laser diodes which are widespread in modern telecommunications and data transmission based on fiber optics.

Picosun has also successfully commercialized an ALD-based method with which resolution and imaging properties of TEM (transmission electron microscopy) can be greatly improved. The main application for this method is chip failure analysis in high-volume manufacturing of various semiconductor components.

”We are happy to take our ALD technology to yet new application areas where it creates new, disruptive solutions to our customers’ key challenges. In China, we have been the market leader in research ALD tools for a long time already, and now we are solidifying our position in the industrial market as well. China, Taiwan, and Singapore are key global hubs when it comes to semiconductor manufacturing. There is our largest industrial customer base and expansion potential,” says Mr. Edwin Wu, CEO of Picosun Asia Pte. Ltd.

(*) In PICOSUN™ ALD systems, samples with ultra-high aspect ratios of over 1:2500 have been successfully coated.

Wednesday, June 27, 2018

Delft University of Technology orders Veeco PEALD system

PLAINVIEW, New York, June 26, 2018 – Veeco Instruments Inc. (Nasdaq: VECO) today announced that the Materials for Energy Conversion and Storage Group (MECS) at Delft University of Technology has ordered its Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. Based in The Netherlands, MECS selected the Veeco tool for its superior performance for research on state-of-the-art materials for renewables, storage and advanced energy solutions. 

“Our colleagues with the Kavli Nanolab at Delft have reported great success working with the Fiji F200 for their nanotechnology R&D, and we are confident the system’s capabilities will also serve us well as we pursue new materials for sustainable energy applications,” said Fokko Mulder, professor of applied sciences and integrated energy systems at Delft University of Technology. “In particular, we were drawn to the Fiji’s world-class reputation, flexible PE-ALD system architecture, and excellent service and support backed by the technical expertise of Veeco’s ALD scientists. After evaluating different options, the Fiji F200 proved the best platform to meet our advanced experimentation needs.”

The Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of thermal and plasma-enhanced deposition modes using multiple configurations of precursors and gases. Veeco continues to be in the forefront of ALD R&D for energy storage with premier research published in the field of solid-state lithium- and sodium-ion batteries, including stoichiometric multicomponent lithium oxides for higher capacity 3D electrodes, safer solid-state electrolytes, and ultrathin phosphate/aluminate encapsulation layers for improved battery lifetime. With over 500 systems installed worldwide, Veeco’s ALD platforms are used in a wide variety of research and industrial environments, including 3D nanofabrication, electronics, batteries, solar cells, energy and compound semiconductors, as well as exciting new applications to solve some of the world’s most pressing technology and resource challenges.

“The MECS group is one of the top research departments in the world working to meet the growing demand for renewable sources and energy storage solutions,” said Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We look forward to helping Professor Mulder and his team at Delft to maximize the benefits of ALD for this important research.”

According to the U.S. Energy Information Administration, global energy consumption will increase by 28 percent between 2015 and 2040, and renewables are the fastest-growing energy source with adoption expected to increase by an average of 2.3 percent each year through 2040. The intermittent nature of renewables is also driving the importance of advanced energy storage research and solutions. The global market for energy storage of renewables is predicted by Navigant Research to grow exponentially from its current nascent stage to reach $23 billion by 2026.

Monday, June 25, 2018

Atomic Layer Deposition of platinum thin films - current and future applications



Strem Chemicals is a well-established (since 1964) supplier of ALD and CVD precursors for both R&D and industrial applications. Many of their compounds are also available in electronic grade suitable for semiconductor applications. The full range of their ALD and CVD precursors can be found in their famous catalog available as a hard copy or on line [LINK]. Amongst the wide range of precursors, the platinum precursors and especially the (trimethyl)methyl-cyclopentadienylplatinum(IV) - MeCpPtMe3 has proven popular for a wide range of ALD and CVD applications.

Platinum and platinum-rich alloys are naturally occurring and have been known for a long time since it is often found as native platinum. It occurs naturally in the sands of rivers in South America and it was first used by pre-Columbian natives to produce artifacts. Later in 16th century the Spaniards named the metal "platina," or little silver, when they first encountered it in Colombia.  They regarded platinum as an unwanted impurity in the silver they were mining and it was not until 1748 that platinum was properly reported by Antonio de Ulloa y de la Torre-Giral, a Spanish general of the navy, explorer, scientist, author, astronomer and colonial administrator.

Since the platinum has become known and used because of the outstanding catalytic properties, which it has in common with the other of the six platinum group metals (PGM) – iridium, osmium, palladium, platinum, rhodium, and ruthenium.  In addition, platinum's wear and tarnish resistance characteristics are well suited for making fine jewelry.  Other distinctive properties include:

  • high resistance to chemical attack
  • excellent high-temperature characteristics
  • stable electrical properties.

Because of all these extraordinary properties the PGMs have been exploited for a wide range of industrial applications.   Platinum, platinum alloys, and iridium are used as crucible materials for the growth of single crystals, especially oxides.  The chemical industry uses a significant amount of either platinum or a platinum-rhodium alloy catalyst to catalyze the partial oxidation of ammonia to yield nitric oxide, which is the raw material for fertilizers, explosives, and nitric acid.   

In recent years, a number of PGMs have become important as catalysts in synthetic organic chemistry.  Platinum supported catalysts are used in the refining of crude oil, reforming, and other processes used in the production of high-octane gasoline and aromatic compounds for the petrochemical industry.  Since 1979, the automotive industry has emerged as the number one consumer of PGMs.  Palladium, platinum, and rhodium have been used as oxidation catalyst in catalytic converters to treat automobile exhaust emissions.  A wide range of PGM alloy compositions are used in low-voltage and low-energy contacts, thick- and thin-film circuits, thermocouples and furnace components, and electrodes.

It was not until the early 2000 that the platinum and the other PGMs became available as a ALD processes and here below is a summary of the most important fundamental discoveries of platinum ALD.

Thermal ALD of high quality platinum films

It all started with thermal ALD of platinum and ruthenium in Helsinki Finland at the famous Laboratory for Inorganic Chemistry headed by Prof. Markku Leskelä and Prof. Mikko Ritala. Here it was found that high quality platinum films can be grown by thermal ALD from MeCpPtMe3. According to the first publications by Titta Aaltonen (summarized in her PhD Thesis University of Helsinki: LINK) the films had strong (111) orientation even down to the lowest growth temperatures. Except for discovering the secrets of thermal ALD of noble metals (Ru, Ir Pt, Pd) Titta Aaltonen made groundbreaking studies of their ALD  growth mechanism with O2 as the co-reactant. At first it may seem strange that O2, or in her case also laboratory air or pressured air, could be used to grow high quality noble metal films. Titta Aaltonen found that adsorbed oxygen atoms react with the ligands of the noble metal precursor during the metal precursor pulse. Unreacted ligand species that remained on the surface after the metal precursor pulse react with oxygen during the following oxygen pulse. The main reaction by-products detected during the both reaction steps were water and carbon dioxide. For detailed studies of the ruthenium process using RuCp2 it has been concluded that active oxygen that dissolves in the upper most monolayers of the growing noble metal film may be behind the nucleation and growth mechanism of the next “ALD monolayer”.

The growth rates of the platinum films grown at 300 °C from MeCpPtMe3 was reported at about 0.5 Å/cycle both when air and pure oxygen were used as oxygen sources and a 50-nm film grown at 300 °C had a resistivity of 13 μΩcm, which is close to bulk value for platinum. It was also found that the difference between air and O2 co-reactant was in how the films adhered to the substrate. The films grown with air as the oxygen source did not pass the famous scotch tape test, while the films grown with pure oxygen passed the tape test.

Besides having such a beautiful ALD mechanism with such a simple co-reactant as air or O2, one additional very big advantage with the MeCpPtMe3 precursor is that can be vaporized at room temperature, just slightly below its melting point of 30 °C since the vapor pressure of MeCpPtMe3 at room temperature is high enough for delivery into an ALD process chamber. If you need a bit more precursor flow for larger batch type reactors or applications with relying on high surface area you can melt the precursor in a standard stainless steel ampule or bubbler with carrier gas dip tube to enhance the flow further. 




A hook up of  MeCpPtMe3 precursor  supplied in a Strem Swagelock ALD/CVD cylinder via a standard Swagelock ALD-valve as close as possible to a thermal horizontal low pressure ALD/CVD reactor (at Fraunhofer IKTS, Dresden, Germany, LINK) to save valuable platinum precursor (LINK) In order to enhance the precursor flow the installation can be wrapped with heater tape and heated to 30-50 °C.

Plasma ALD of platinum films

Some years later, Harm Knoops (now TU Eindhoven/Oxford Instruments) and co-workers published extensive results in a benchmarking study in 2009 [LINK] using MeCpPtMe3 precursor in a plasma ALD reactor with a remote ICP O2 Plasma. Here they proved that by the plasma enhanced ALD process (PEALD), the growth temperature could be reduced considerably to as low as 100 °C for both platinum metal and platinum oxide film growth and it was possible to switch between the two growth modes by adding a H2 step to grow metallic films. More recently, the same group reported platinum ALD at room temperature on polymer, textile, and paper substrates [LINK]. By tuning the dosing of MeCpPtMe3, O2 plasma exposure, and H2 gas or H2 plasma exposure high-quality platinum films with a resistivity of 18–24 μΩ cm were obtained.

Growth of platinum nanoparticles by ALD

Most recently Prof. Ruud van Ommen (TU Delft) published their detailed study [LINK] on how to control and grow platinum nanoparticles by ALD, again using the MeCpPtMe3 precursor.
They showed that the nanoparticle aggregation takes place during the oxygen half-reaction and that the mobility of the nanoparticles exhibits a size- and temperature-dependent scaling and that ALD-like precision over the nanoparticle size requires low deposition temperatures (< 100 °C).

Industrial applications for platinum ALD

Since early 2000 platinum ALD has been considered in parallel to ruthenium and evaluated multiple times by academia and industry for the use in a number of microelectronic applications including:

  • Electrodes for DRAM high-k capacitors
  • Transistor Source/Drain contacts with nickel Ni(Pt)Si
  • DRAM buried Word Lines and Bit Lines
  • Local interconnects as Cu seed layer or complete fill replacing tungsten

The semiconductor industry is very sensitive for raw material pricing and therefore introduction of platinum so far has mainly been using PVD in the case of Ni(Pt)Si source drain contact and for the other applications mentioned above there has been no reports of high volume manufacturing. Meanwhile, ruthenium on the other hand had have some success for hard disk reader heads and is now considered for local interconnects for technologies at 5 nm or below.

One of the biggest industrial applications for the MeCpPtMe3 precursor today is for E-beam direct write repair of photo lithographic masks for both Immersion and EUV lithography and making direct chip level contacts for electrical characterization in FIB-SEM.  

Current research and development on using platinum ALD or CVD as deposition method focuses on:
  • Nanobatteries using platinum contacts and electrodes
  • Supercapacitors using platinum electrodes
  • Nanoparticle catalysis
  • Core shell nanoparticles (nanoparticles covered by an ultra-thin platinum layer)
  • As contacts to III/V nanowire and 2D materials devices
  • Electrodes and contacts in printed flexible electronics
  • 3D Nanoprinting via laser-assisted electron beam induced deposition
The main issue to overcome for any successful industrial scale up of platinum is to minimize the use of bulk platinum and use ultra-thin layers and if bulk material is need use either substrates with a very large surface or coated low cost particles. Eventually, for all applications, platinum being a noble metal all of the by-products of precursor or coated parts has to be recaptured and recycled. 

In the case of automotive catalyst support such PGM recycling plants are operational since long time (e.g. operated by BASF and Umicore). For the ruthenium introduction in the semiconductor device manufacturing, several companies have reported development of recapture and recycling methods (e.g. Praxair, Tokyo Electron and Tanaka) and we can assume that these can also be adapted for platinum precursor recapture and recycling. Finally, to put things in perspective, the USGS reported that about 110,000 kilograms of platinum, palladium, and rhodium was recovered globally from new and old scrap in 2017 and they estimate the world resources of PGMs to a total more than 100 million kilograms. The largest reserves are in the Bushveld Complex in South Africa.

References

ALD of platinum from MeCpPtMe3 and Air and the ALD nobel metal / oxygen reaction mechanism: T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä, Reaction Mechanism Studies on Atomic Layer Deposition of Ruthenium and Platinum, Electrochem. Solid-State Lett., 6 (2003) C130–C133. [LINK]
ALD of platinum from MeCpPtMe3 and O2 : T. Aaltonen, M. Ritala, Y.-L. Tung, Y. Chi, K. Arstila, K. Meinander, and M. Leskelä, Atomic Layer Deposition of Noble Metals: Exploration of the Low Limit of the Deposition Temperature, J. Mater. Res., 19 (2004) 3353–3358. [LINK]
PEALD and thermal ALD of platinum films from MeCpPtMe3 :  H. C. M. Knoopsa, A. J. M. Mackus, M. E. Dondersa, M. C. M. van de Sanden, P. H. L. Notten, and W. M. M. Kessels.
PEALD of platinum at room temperature : A. J. M. Mackus, D. Garcia-Alonso, H. C. M. Knoops, A. A. Bol, and W. M. M. Kessels, Room-Temperature Atomic Layer Deposition of Platinum, Chem. Mater., 2013, 25 (9), pp 1769–1774 [LINK]
Platinum nanoparticle ALD growth : F. Grillo, H. Van Bui, J. A. Moulijn, M. T. Kreutzer, and J. R. van Ommen, Understanding and Controlling the Aggregative Growth of Platinum Nanoparticles in Atomic Layer Deposition: An Avenue to Size Selection, J. Phys. Chem. Lett., 2017, 8 (5), pp 975–983 [LINK]
Facts about PGMs : Platinum-Group Metals Statistics and Information (Platinum, Palladium, Rhodium, Ruthenium, Osmium, and Iridium), U.S. Department of the Interior, U.S. Geological Survey [LINK]
MeCpPtMe3 product information and ordering from Strem Chemicals (Item #: 78-1350):

 
Product Description: (Trimethyl)methylcyclopentadienylplatinum(IV), 99%
CAS #: 94442-22-5
Safety Data Sheet: [LINK]