Thursday, February 27, 2020

Picosun delivers multiple production ALD systems to Asia for solid state lighting device manufacturing

ESPOO, Finland, 26th February 2020 (LINK) – Picosun Group, Finland-based, global provider of advanced Atomic Layer Deposition (ALD) thin film coating solutions, has been chosen by a major Asian customer to deliver significant ALD production capacity for manufacturing of solid state lighting devices. 

The PICOSUN® P-300BV ALD system is specially designed for production of LEDs, discrete devices, and MEMS devices such as print heads, sensors, and microphones.
 
Solid state illumination technologies are the future of lighting. Running on much lower power than other lighting devices and lasting much longer, they save both resources and environment. As small, compact and light-weight they are also simple to use in remote locations such as rural areas in developing countries, thus providing safe and easily powered lighting for example for children to study or health care stations to operate.

Picosun wants to introduce ALD to applications where it can improve both people’s quality of life and the state of the environment. Indeed, ALD is an ideal technology to enable various sustainable solutions, not only in lighting but in various other industries. In many cases, replacing thick, lower quality coatings with ultra-thin but superior quality ALD films leads to both material and energy savings and longer end product lifetime. Picosun’s equipment design, refined to top-notch performance through decades of cumulative experience in the field, ensures that no matter what industry, an optimal coating solution can always be found from the company’s portfolio.

“ALD films enhance the performance and lengthen the lifetime of solid state lighting devices. Our PICOSUN® P-300BV ALD system is specifically designed for these applications. It combines fast batch production capacity with vacuum loading for the highest ALD film quality and purity. We are happy to be chosen as the ALD technology provider for our esteemed customer. A facility of multiple P-300BV ALD tools that shall be installed in their premises will significantly strengthen our position in this market. For the end users, our ALD solutions enable flexible, power-saving and environmentally friendly lighting solutions with superb brightness and long life,” says Mr. Edwin Wu, CEO of Picosun Asia Pte. Ltd.

Tuesday, February 25, 2020

Schrödinger to give hands-on ALD simulation training in their ALD/ALE 2020 satellite workshop

AVS ALD is back in Europe this summer - Join Schrödinger scientists for hands-on ALD simulation training in their ALD/ALE 2020 satellite workshop taking place in Ghent on 2nd July 2020. Free, but spots are limited. Sign up at ow.ly/qHaz50ytPSC
 
 
 
Workshop:Simulating Atomic Layer Deposition and Etch
This day-long workshop will give hands-on training in the use of Schrödinger’s Materials Science Suite for the atomic-scale simulation of the chemistry of atomic layer deposition (ALD) and related gas-surface processes. Participants will get practical experience and in-person guidance in using the Maestro GUI, including the specialized model builders for molecules, organometallic precursors, bulk materials and surfaces. The quantum mechanics engines Jaguar and Quantum Espresso will be introduced. The workshop will also include a brief recap of background theory for quantum chemistry and some case studies of ALD simulations from the research literature.
Date & Time :Thursday, July 2nd ~ 09:00 - 16:00
Location:Ghent Marriott Hotel, Ghent, Belgium MAP
 

Monday, February 17, 2020

ALD Process For Preserving Lumber Could Offer Advantages Over Pressure Treating

[Georgia Institute of Technology News] Pressure treating – which involves putting lumber inside a pressurized watertight tank and forcing chemicals into the boards – has been used for more than a century to help stave off the fungus that causes wood rot in wet environments.



Now researchers at the Georgia Institute of Technology have developed a new method that could one day replace conventional pressure treating as a way to make lumber not only fungal-resistant but also nearly impervious to water – and more thermally insulating.

The new method, which was reported February 13 in the journal Langmuir (see below) and jointly sponsored by the Department of Defense, the Gulf Research Program, and the Westendorf Undergraduate Research Fund, involves applying a protective coating of metal oxide that is only a few atoms thick throughout the entire cellular structure of the wood.

This process, known as atomic layer deposition, is already frequently used in manufacturing microelectronics for computers and cell phones but now is being explored for new applications in commodity products such as wood. Like pressure treatments, the process is performed in an airtight chamber, but in this case the chamber is at low pressures to help the gas molecules permeate the entire wood structure.

Continue reading full article: LINK 
Publication: Shawn A. Gregory, Connor P. McGettigan, Emily K. McGuinness, David Misha Rodin, Shannon K. Yee, and Mark D. Losego, “Single-Cycle Atomic Layer Deposition (1cy-ALD) on Bulk Wood Lumber for Managing Moisture Content, Mold Growth, and Thermal Conductivity,” (Langmuir, February 2020). http://dx.doi.org/10.1021/acs.langmuir.9b03273



Wednesday, February 12, 2020

50th Hollow Cathode Gas Plasma Source Ordered from Meaglow Ltd

THUNDER BAY, Ontario--(BUSINESS WIRE)--In the semiconductor industry, hollow cathodes are best known for their application as sputter sources, however an increasingly successful range of gas plasma sources have been built by Meaglow Ltd for application in plasma enhanced atomic layer deposition (PE-ALD) and plasma enhanced chemical vapour deposition (PE-CVD). In the past, thin non-oxide films grown by PE-ALD had suffered from severe oxygen contamination problems, a problem that is largely solved with Meaglow’s hollow cathodes, which have the other advantages of high radical flux, low ion damage, and scalability. Meaglow has made several large area sources, in fact, the new order that pushes past the 50th plasma source is for three 4” diameter sources and an 8” diameter source for the OEM Okyay Tech (www.okyaytechald.com/) who will use the sources in some of their upcoming ALD equipment builds. Meaglow has also built 12” diameter sources for other customers.



“We believe Meaglow’s designs represent the first successful, wide spread, application of hollow cathode gas sources for thin film deposition,” says Dr. Scott Butcher, Chief Scientist of Meaglow Ltd. “Past designs struggled with uniform plasma distribution, a problem which Meaglow has overcome.” Dr Butcher’s early exposure to radiofrequency hollow cathode technology, back in the 1990s, was a good fit for solving some of the problems faced by our PE-ALD customers. Now our technology can be found in ten countries, and our customers have published over forty related journal papers, most of which are listed on our website.

About Meaglow Ltd.
Meaglow Ltd. Is a privately owned corporation in the industrial city of Thunder Bay, Canada. It’s range of next generation hollow cathode plasma sources is transforming the way plasma deposition is done. www.meaglow.com

Saturday, February 8, 2020

Consistency and reproducibility in atomic layer deposition

ALD is usually sold as a straight forward thin film deposition technique wit excellent film thickness university and straight forward thickness scaling by the number of ALD cycles applied. Here us a recently published paper in JVSTA from the leading ALD researchers at Argonne National Laboratory discussing the process result variation from processes used reported by the same precursor from equipment in different labs showing a rather significant variation. 

(As shared on LinkeIn, JVSTA Editors pick LINK)

Consistency and reproducibility in atomic layer deposition

Henrik H. Sønsteby, Angel Yanguas-Gil, and Jeffrey W. Elam
Journal of Vacuum Science & Technology A 38, 020804 (2020); https://doi.org/10.1116/1.5140603

Atomic layer deposition (ALD) is a thin film synthesis technique that can provide exquisite accuracy and precision in film thickness and composition even on complex, large area substrates. Based on self-limiting surface chemistry, ALD can be insensitive to process conditions and reactor designs, allowing an ALD process developed in one lab to be easily reproduced in other labs. In practice, however, ALD is sometimes difficult to reproduce or replicate, and the results can vary substantially between ALD reactors and between labs. This is exemplified by large deviations in reports on the growth of, e.g., Al2O3, FeOx, and TiO2 given the same precursors under similar conditions. Furthermore, the problem of irreproducibility seems to be growing as ALD is adopted by more researchers and integrated into new applications. In this article, the authors highlight some of the major sources of variations and errors and common misconceptions related to ALD. In particular, the authors focus on issues related to precursors, substrates, and deposition tools. The authors illustrate these problems through examples from the literature, and they present results from numerical simulations that describe how nonidealities would manifest in thickness profiles in a typical cross-flow reactor. They also describe how reproducibility in ALD is linked to consistent experimental practice and reporting between labs. The authors’ hope is that by educating newcomers to ALD and advocating for consistent reporting of deposition conditions, they can minimize irreproducibility and enable ALD practitioners to realize the full potential afforded by self-limiting surface chemistry

Friday, February 7, 2020

Veeco Introduces Suite of MOCVD Systems to Enable High Performance Photonics Devices

Plainview, N.Y. - Veeco Instruments Inc. (Nasdaq: VECO) introduced today the Lumina Metal Organic Chemical Vapor Deposition (MOCVD) platform, which incorporates the proprietary TurboDisc® reactor technology for outstanding film uniformity, yield and device performance for a variety of photonics applications. This new MOCVD platform, including the Lumina R480™ and Lumina R480S™ models, will accelerate the production of VCSEL, Edge-Emitting Laser (EEL) and Mini / Micro LED devices. 
 

(Image: Veeco)

In response to strong consumer demand for arsenic phosphide (As/P) MOCVD technology, the Lumina platform is advancing a new generation of high-efficiency photonics devices including VCSELs used in 3D sensing, autonomous driving and high-speed data communication. The Lumina platform is also designed for mini and micro LED production for advanced displays found in next-generation 4K and 8K televisions, smartphones and wearable devices, as well as EEL devices used for advanced optical communications and silicon photonics applications.

“Leading photonics manufacturers are currently seeing the benefits of our Lumina MOCVD system and are validating its impact in the manufacturing of high-volume photonics devices,” said Gerry Blumenstock, Senior Vice President, Product Line Management. “As the world’s leader in MOCVD equipment, the Lumina platform is the answer for the next generation VCSEL, EEL andmini / micro LED devices. With its proven design, technology and performance, Lumina provides exciting opportunities for the next generation of photonics devices.”

The Lumina R480 and R480S systems are based on Veeco’s industry leading MOCVD TurboDisc® technology which features excellent uniformity and low defectivity over long campaigns for exceptional yield and flexibility. In addition, Veeco’s proprietary technology drives uniform thermal control for excellent thickness and compositional uniformity. Providing a seamless wafer size transition, the system is capable of depositing high quality As/P epitaxial layers on wafers up to six inches in diameter. The R480 and R480S systems allow users to customize their systems for maximum value.

Veeco will be exhibiting at Photonics West in San Francisco, CA from Tuesday, February 4 to Thursday, February 6. Stop by booth number 1456 to learn more about Veeco’s MOCVD and ion beam sputtering solutions for photonics applications.

AVS ASD20 - Call for Abstracts MONDAY February 10, 2020

In an effort to help facilitate the progression of ASD techniques, the 5th Area Selective Deposition Workshop (ASD 2020) will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges. Based on the success of the previous workshops, ASD 2020 will consist of two days of presentations by invited and contributing speakers, as well as a banquet reception and poster session.


Abstract Deadline: February 10, 2020 LINK
 

Thursday, February 6, 2020

Forge Nano and ALD NanoSolutions to merge

Forge Nano and ALD NanoSolutions combine forces making atomic level manufacturing a reality

LOUISVILLE, CO., February 5th, 2020 —Leading atomic layer deposition (ALD) experts Forge Nano and ALD NanoSolutions merge to bring cutting edge surface engineering techniques to market.

The technology of tomorrow requires incredible precision and control of materials to make products perform better than ever before. By “starting at the surface” we can engineer exact material properties, at an atomic level. This allows us to optimize a number of characteristics by controlling the way surfaces behave and interact with one another and their environment.

By combining the cumulative experience and resources of our companies, we offer unmatched real-world expertise, and the ability to scale atomic surface technology all the way through to manufacturing. We enable the engineering and manufacturing of nano-coatings on particles, and high surface area objects using the precision of ALD.


“By consolidating and streamlining our organization we now have the resources to deliver on a whole new wave of atomically perfected materials. Our customers now have a one-stop shop for product development, manufacturing, and IP. I’m pleased to offer an even faster and more concise path to market for our partners.”

-Dr. Paul Lichty, CEO Forge Nano Inc.


“We are excited to merge our talent and resources to create a company with unmatched capabilities and technical know-how. Not only that, but we will continue our close collaboration with Prof. Alan Weimer, and Prof. Steven George and their research groups at CU Boulder, ushering in a new era of disruptive technology together.”

-Dr. Wayne Simmons, CEO ALD NanoSolutions Inc.


The future of advanced materials technology begins at the surface. Using finely tuned, sub-nano surface control, “Manufacturing with Atoms” becomes a reality that will create safer and more efficient products. ALD enabled surfaces are pushing past the limitations of today’s materials and are finding applications in not just industrial uses but also for many household products


Forge Nano is a global leader in surface engineering and precision nano-coating technology. Our proprietary technology and manufacturing processes make angstrom-thick coatings fast, affordable and commercially viable for a wide range of materials, applications and industries. Our suite of ALD products and services covers the full spectrum from lab-scale to pilot and commercial-scale manufacturing systems. www.ForgeNano.com

Tuesday, February 4, 2020

Swagelok ALD20 UHP Valve Offers New Possibilities for Semiconductor Production

Advanced valve enables chip fabricators to experiment with new precursors due to higher flow capacity, thermal stability, and extreme precision.


SOLON, Ohio--(BUSINESS WIRE)--#ALD--Swagelok, a leading solutions provider of fluid system products, assemblies, and related services, has announced the release of a new ultrahigh-purity (UHP) valve for high-flow applications—the ALD20. Since introducing atomic layer deposition (ALD) valve technology to the market, Swagelok has worked with semiconductor tool manufacturers and chip fabricators to provide the performance needed to keep pace with quickly changing process requirements. The new ALD20 valve is the latest result of this collaboration, allowing forward-thinking process designers the flexibility to experiment with low-vapor pressure chemistries that may hold the key to tomorrow’s competitive advantage.

The ALD20’s patent-pending design maximizes production process efficiency and deposition consistency by providing flow coefficients two to three times what can be achieved using today’s standard ALD valve technology. It can deliver a flow rate of up to 1.2 Cv in the same footprint (1.5 in.) as existing ALD valves, allowing some users to boost throughput without retooling existing equipment or making additional process changes. The other standard version ALD20 valve with a slightly larger footprint width (1.75 in.) provides an even greater flow rate of up to 1.7 Cv. Custom set flow coefficients are also available.

Swagelok ALD20 UHP Valve(Swagelok.com)
 
Designed for peak process consistency, the ALD20 can be fully immersible in a gas box from 50°F (10°C) up to 392°F (200°C), enhancing thermal stability and deposition uniformity. It also features a valve body comprised of 316L VIM-VAR stainless steel or Alloy 22—offering enhanced corrosion resistance to withstand aggressive media—as well as a highly polished bellows with a 5 μin. Ra finish to support clean operation for long-term process integrity.

“The ALD20 is a direct response to the rapidly evolving needs of the semiconductor industry,” said Garrick Joseph, director of marketing, semiconductor, for Swagelok. “Through partnership with industry leaders and application of fluid system engineering expertise, we are pleased to launch a product that allows customers to effectively use precursor gas chemistries that previously may have been considered too challenging or too expensive to employ, but which could be crucial to the development of the next generation of chip technology.”

The ALD20 is available today in modular surface-mount configurations with two or three ports, in straight configurations with tube butt weld and male or female VCR® face seal fitting end connections, and in multiport valve configurations to optimize flow paths within existing or new systems. A high-temperature optical position sensor is available as an add-on component as well.