Showing posts with label ALD - Atomic Layer Deposition. Show all posts
Showing posts with label ALD - Atomic Layer Deposition. Show all posts

Monday, September 9, 2024

New Export Controls on ALD, ALE and ASD Technologies Effective September 2024 to Safeguard National Security

The US Bureau of Industry and Security (BIS) is introducing* stringent export controls targeting advanced technologies essential to national security, particularly within the semiconductor, quantum computing, and additive manufacturing sectors. These controls include new and revised Export Control Classification Numbers (ECCNs) and specific restrictions on critical equipment and materials, such as those involved in Gate-All-Around Field-Effect Transistor (GAAFET) technology, Atomic Layer Etching (ALE), and Atomic Layer Deposition (ALD). The controls aim to safeguard U.S. technological leadership while harmonizing with international export control standards. Specific restrictions apply to high-precision wafer processing equipment and isotopically enriched materials used in quantum computing, reflecting the critical importance of these technologies. These measures ensure that while international collaboration continues, sensitive technologies remain protected under national security protocols.


BIS has introduced new export controls focused on advanced technologies, particularly in the semiconductor, quantum computing, and additive manufacturing sectors. These controls include new Export Control Classification Numbers (ECCNs), revisions to existing ones, and the addition of new license exceptions for countries with similar technical controls. This rule aims to protect national security and advance foreign policy objectives by aligning U.S. export controls with those of international partners. The controls cover a wide range of items, including quantum computing technologies and semiconductor manufacturing equipment, reflecting the critical importance of these technologies to national security. The rule is effective immediately, though there are delayed compliance dates for certain items, allowing businesses time to adjust to the new requirements.

BIS has also established a framework to differentiate between items controlled multilaterally and those controlled through Implemented Export Controls (IEC), which are harmonized with international partners. The new regulations include provisions for annual reporting, particularly concerning the deemed export of quantum technology and software, highlighting the global nature of innovation in these fields. The rule is designed to support U.S. technology leadership while ensuring that export controls do not impede international collaboration, particularly in areas like quantum computing, where global expertise is crucial. Comments on the rule and its potential impact on supply chains and compliance programs are invited, with a focus on refining the scope and clarity of the new ECCNs and license exceptions.

BIS specifies that the restrictions on GAAFET (Gate-All-Around Field-Effect Transistor) technology primarily focus on the "technology" required for the "development" or "production" of GAAFET structures. This includes process recipes and other detailed specifications necessary for fabricating these advanced semiconductor devices. These restrictions are captured under ECCN 3E905, which applies to the "technology" for GAAFETs but does not extend to vertical GAAFET architectures used in 3D NAND. The export, reexport, or transfer of this technology to certain countries requires a license due to its national security and regional stability implications. However, the rules include specific exceptions for existing collaborations and provisions for continued access under certain conditions.

The specific wafer processing technologies restricted for export include:

Dry Etching Equipment:

Equipment designed for isotropic dry etching, as well as anisotropic etching of dielectric materials. These include technologies that enable the fabrication of high aspect ratio features, with aspect ratios greater than 30:1 and a lateral dimension on the top surface of less than 100 nn.  

The specific restrictions on Atomic Layer Etching (ALE) equipment are detailed under the export control regulations. The BIS has imposed controls on equipment designed or modified for anisotropic dry etching, which includes certain types of ALE equipment. These tools, particularly those using RF pulse-excited plasma, pulsed duty cycle excited plasma, and other advanced techniques, are now restricted due to their critical role in the precise fabrication of high-performance semiconductor devices. The restrictions apply to ALE equipment that is capable of producing high aspect ratio features, which are essential for advanced semiconductor manufacturing, making these tools subject to national security and regional stability controls .

Deposition Technologies:

Equipment designed for the selective bottom-up chemical vapor deposition (CVD) of tungsten fill metal, and other deposition processes such as those for tungsten nitride, tungsten, and cobalt layers. This also includes atomic layer deposition (ALD) equipment designed for area selective deposition of barriers or liners.

The restrictions on Atomic Layer Deposition (ALD) equipment are focused on several key types of equipment essential for advanced semiconductor manufacturing. Specifically, ALD equipment designed for area-selective deposition of barriers or liners using organometallic compounds is controlled. This includes equipment capable of area-selective deposition (ASD) that enables fill metal contact to an underlying electrical conductor without a barrier layer at the fill metal via interface to the conductor. Additionally, ALD equipment designed for depositing tungsten (W) to fill interconnects or channels less than 40 nm wide is also restricted. These restrictions are imposed due to the critical role these technologies play in the precision required for the fabrication of next-generation semiconductor devices.

These technologies are controlled under ECCNs (Export Control Classification Numbers) such as 3B001 and related classifications, and are subject to national security (NS) and regional stability (RS) controls.

The specific materials, chemicals, or precursors that are being restricted under the new export controls include:

These restrictions reflect the importance of controlling advanced materials that play a crucial role in emerging technologies, particularly those with significant national security implications, i.e., quantum technologies.

Epitaxial Materials: This includes materials with at least one epitaxially grown layer of silicon or germanium containing a specified percentage of isotopically enriched silicon or germanium. These materials are controlled due to their critical role in developing spin-based quantum computers.

Fluorides, Hydrides, Chlorides: Specific chemicals of silicon or germanium that contain a certain isotopic composition are also restricted. These chemicals are essential in semiconductor manufacturing processes, particularly in the development of quantum technologies.

Silicon, Silicon Oxides, Germanium, or Germanium Oxides: These materials, when isotopically enriched, are restricted due to their applications in quantum computing and other advanced technologies. The control extends to various forms such as substrates, lumps, ingots, boules, and preforms . 

* The new export controls introduced by the Bureau of Industry and Security (BIS) are effective as of September 6, 2024. However, there are delayed compliance dates for certain items, allowing businesses until November 5, 2024, to comply with the new requirements, particularly for specific quantum technologies and related equipment. This delayed compliance is intended to give affected parties time to adjust to the new regulations.

Source:

2024-19633.pdf (SECURED) (govinfo.gov)

Monday, August 26, 2024

Impact of Deposition Mechanisms on Feature Sizes in Area-Selective Atomic Layer Deposition of TiO2 and HfO2

A study from Georgia Techinvestigates the mechanisms behind area-selective atomic layer deposition (AS-ALD) of titanium dioxide (TiO2) and hafnium dioxide (HfO2) on poly(methyl methacrylate) (PMMA) and silicon (Si) substrates, emphasizing their effects on feature sizes and film thickness. The researchers found that TiO2 exhibits highly selective deposition on Si compared to PMMA, though the PMMA sidewalls inhibit deposition, resulting in smaller feature dimensions than the original patterns. In contrast, HfO2, while less selective, combines selective deposition with a lift-off mechanism, allowing for smaller feature sizes but limiting the possible thickness before full coverage occurs.

The study highlights that TiO2's truly area-selective deposition mechanism causes significant sidewall inhibition, restricting the achievable feature size to larger dimensions. However, HfO2's combination of selective deposition and lift-off results in less sidewall inhibition, enabling the formation of much smaller features. The research further suggests that the choice of deposition material and the mechanism it employs critically influences the minimum feature sizes that can be achieved in semiconductor fabrication, with practical implications for future device miniaturization.


Summary of the mechanisms for AS-ALD of TiO2 and HfO2 using a PMMA area-selective mask, along with the corresponding benefits and limitations of each material. J. Phys. Chem. C 2024, XXXX, XXX, XXX-XXX

The findings underscore that the AS-ALD mechanism—whether a pure area-selective process or a combination with lift-off—directly affects the precision and scalability of nanofabrication. TiO2's area-selective mechanism is more effective for creating precise patterns but is limited by sidewall effects, while HfO2 offers greater flexibility in feature size at the cost of potential thickness limitations due to less selective deposition behavior. Potentially the research provides valuable insights for optimizing deposition techniques in advanced semiconductor manufacturing.

Source

Sunday, August 25, 2024

Innovations in Atomic and Molecular Layer Deposition of Rare Earth-Based Functional Thin Films: Expanding Horizons in Electronics and Optoelectronics

In a recent article, researches from Germany (Bochum University) and Finland (Aalto University) explore the evolution and advancements in the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques for rare earth-based thin films, emphasizing their role in diverse applications ranging from microelectronics to optoelectronics and medical diagnostics. Initially focused on developing rare earth oxides as high-k dielectric materials in semiconductor devices, research has expanded to include complex ternary and quaternary perovskite oxides with unique magnetic and catalytic properties. The recent surge in ALD/MLD techniques has enabled the creation of rare earth-organic hybrid materials with intriguing luminescence properties, promising new avenues for applications in lighting, imaging, and solar cells.



Survey over the different rare earth precursor classes commonly employed for the ALD and ALD/MLD of rare earth containing thin films. Color bars indicate successful ALD employment of the compound class for the respective element. R represents an element of the extended rare earth elements, while R’ and R’’ refer to specific substituents. These are: H = Hydrogen, Me = Methyl, Et = Ethyl, iPr = Isopropyl, nBu = Butyl, tBu = Tert-butyl.

The review also highlights the challenges associated with precursor development and the need for further research to optimize the chemical reactivity and long-term stability of these materials. The potential for these novel materials to revolutionize industries is significant, particularly in the creation of flexible devices and advanced optoelectronic applications. However, according to the article, achieving widespread industrial adoption will require continued collaboration between academia and industry to refine processes, enhance material performance, and ensure scalability.




Annually published ALD and ALD/MLD articles involving rare earth elements from 1992 to 2023. The publications were searched from Scopus and Web of Science, using search terms that included “atomic layer deposition” and “rare earth”, or “atomic layer deposition” and “lanthanide”. The data thus acquired were further manually refined to check for numbers as accurate as possible. Data were accessed lastly on 10-02-2024.


Saturday, August 24, 2024

Optimizing Atomic Layer Deposition Processes with Nanowire-Assisted TEM Analysis - Reducing Process Development CycleTimes by 80%

Researchers from  Empa, the Swiss Federal Laboratories for Materials Science and Technology located in Switzerland, have developed a novel method to optimize Atomic Layer Deposition (ALD) processes using high-aspect ratio nanowires coupled with Transmission Electron Microscopy (TEM). By directly depositing materials onto nanowires placed on TEM grids, the team was able to conduct immediate post-deposition analysis, significantly speeding up the optimization of process parameters such as layer thickness, chemical composition, and conformality. This approach allows for rapid feedback and adjustment, reducing the time required to fine-tune ALD processes by a factor of five. 


The study focused on optimizing the deposition of aluminum oxide using a standard trimethylaluminum (TMA) and water process. By varying cycle numbers, temperature, and pulse/purge times, the researchers identified optimal conditions for the ALD process, achieving a uniform and stoichiometric aluminum oxide layer. This method also revealed early-stage non-uniform growth in the initial cycles, providing new insights into ALD mechanisms. The researchers propose that this technique could extend beyond ALD to other deposition processes, offering a powerful tool for the rapid development and refinement of thin-film deposition technologies.

Source: Optimizing Atomic Layer Deposition Processes with Nanowire‐Assisted TEM Analysis - Schweizer - 2024 - Advanced Materials Interfaces - Wiley Online Library

Wednesday, August 14, 2024

The 2024 1st Asian-Pacific Atomic Layer Deposition (AP-ALD) Conference Shanghai, China, from October 17 to 20, 2024

Following the successes of the previous four international Conferences on ALD Applications and ten China ALD Conferences since 2010. the 2024 1st Asian-Pacific Atomic Layer Deposition (AP-ALD) Conference will be a four-day meeting, dedicated to the fundamentals and applications of Atomic Layer Deposition (ALD) technology in various fields. It will be held in Shanghai, China, from October 17 to 20, 2024. This conference will feature plenary sessions, oral sessions, poster sessions and industrial exhibitions.

The ALD technique has been widely used and explored in numerous fields such as microelectronics, photoelectronics, optical coating, functional nanomaterials, MEMS/NEMS, energy storage, biotechnology, catalysis technology and etc. This is attributed to some unique advantages of ALD, including precise control of nano-scale thickness, superior uniformity across a large area, excellent conformity, relatively low deposition temperature and stoichiometric composition. Especially in the field of microelectronics, ALD has been involved deeply into advanced integrated circuits to prepare high-k/metal gate, spacer, and ultrathin diffusion barriers for Cu interconnects etc. Furthermore, ALD is also receiving great attention for its potential application in photovoltaics, flexible electronics, organic electronics, flat-panel display and other emerging areas.








Invited speakers: Invited Speakers – AP-ALD

Conference Web: AP-ALD – ALD2024




Tuesday, August 13, 2024

South Korea's Exports Surge in August Driven by Semiconductors; SK Hynix Leads 1c DRAM Production, Samsung Confirms Investment for 2025 Launch; Both Giants to Adopt Next-Gen Photoresist Technologies

In the first 10 days of August 2024, South Korea's exports increased by 16.7% year-on-year, reaching $15.5 billion, driven primarily by a significant 42.1% surge in semiconductor exports. Other sectors like petroleum products and automobiles also saw growth, with automobile exports rising sharply by 63.9%. However, machinery product exports declined by 10.6%. Imports grew by 13.4% to $18.4 billion, resulting in a trade deficit of $2.9 billion. Notably, exports to major trading partners China and the United States increased by 10.7% and 27.7%, respectively. This continues a trend of export growth, marking the tenth consecutive month of gains as of July.



SK hynix has announced it will begin mass production of its 6th generation (1c) 10nm class DRAM in the third quarter of 2024, ahead of Samsung Electronics, which plans to start production by the end of the year. SK hynix has already established an internal road map to achieve customer certification and start production, potentially positioning itself to capture significant demand from major tech companies like Amazon and Microsoft once Intel certifies its DRAM for server use. The 6th generation DRAM, utilizing advanced Extreme Ultraviolet (EUV) lithography, promises higher chip yields and improved power efficiency compared to previous generations.

Samsung has confirmed its investment in the Pyeongtaek P4 plant for the production of 6th-generation 1c DRAM, with plans to begin mass production in June 2025. This next-generation DRAM, which uses 10nm-class technology, is still not commercialized globally, but Samsung and SK hynix are preparing for its mass production. Despite initial delays due to a downturn in the semiconductor market, Samsung is now expanding its P4 facility, initially installing NAND flash equipment and confirming plans for 1c DRAM production. The company also anticipates launching HBM4 using 1c DRAM by the second half of 2025, aligning with forecasts of significant growth in the memory industry's revenues.

Both Samsung and K Hynix plans to adopt Inpria's metal oxide resist (MOR) technology in the production of 1c DRAM, utilizing MOR to draw the finest lines on one of the five to six  EUV layers in the 1c DRAM. This adoption aims to enhance performance and reduce costs in future DRAMs. MOR is seen as a next-generation alternative to the chemically amplified resist (CAR) currently used in advanced chip lithography, addressing CAR's limitations in resolution, etching resistance, and line edge roughness. 

In 2021, JSR Corporation announced its acquisition of Inpria Corporation, the leading innovator in metal oxide photoresist technology for EUV lithography, solidifying its focus on advancing semiconductor materials.

Samsung is considering multiple suppliers for its EUV MOR photoresist needs beyond Inpria, including companies like Dupont, Dongjin Semichem, and Samsung SDI. These alternatives are currently being tested as the company explores the best options for its 1c DRAM production. 

Lam Research refers to its inorganic photoresist technology as "dry resist," which reportedly is expected to be supplied for Gen 7 10nm (1d) DRAM production, anticipated to launch next year. This dry resist is deposited by ALD and represents a further evolution in PR technology, potentially offering enhanced performance for the next generation of DRAM manufacturing.

Exports increase 16.7 percent in first 10 days of August - The Korea Times

SK hynix Leads with ‘6th Generation 10 nm’ DRAM Production Ahead of Samsung - Businesskorea

[News] Samsung Reportedly Confirms Investment in Pyeongtaek P4 Plant for 6th-Generation 1c DRAM | TrendForce Insights

SK Hynix to adopt Inpria MOR in 1c DRAM - THE ELEC, Korea Electronics Industry Media (thelec.net)

Samsung said to consider Inpria's metal oxide resist for 1c DRAM process (digitimes.com)

Samsung considering applying metal oxide resist in next DRAM - THE ELEC, Korea Electronics Industry Media (thelec.net)

Sunday, August 11, 2024

Forge Battery Begins Shipping High-Energy 300 Wh/kg Lithium-Ion Cells Made in The USA

Forge Battery, a subsidiary of Forge Nano, has initiated the shipment of its advanced 21700 cylindrical lithium-ion battery cells, branded as “Gen. 1.1 Supercell,” to customers and potential partners. The cells, which boast a specific energy of 300 Wh/kg, have passed rigorous safety certifications (UN 38.3 and UL 1642), allowing for safe transportation. The company plans to deliver thousands of cells throughout 2024, fulfilling existing customer commitments and generating interest from new markets. These cells are designed with over 20% silicon in the anode and use NMC 811 cathodes, outperforming U.S. Advanced Battery Consortium (USABC) energy density targets and reducing costs by 20% per kWh.

The Supercells incorporate Forge Nano’s proprietary Atomic Armor™ coating technology, enhancing the durability and performance of the cells by preventing unwanted chemical reactions. With 90% of the materials sourced from U.S. suppliers, Forge Battery is set to become a key player in the domestic battery market, aiming for full-scale production at its upcoming North Carolina Gigafactory in 2026. These cells are targeted at high-performance applications, including electric trucks, aerospace, and defence, with the potential to outcompete current Tier 1 global suppliers.

Source:

Forge Battery Begins Bulk Customer Shipments of 300 Wh/kg Lithium-Ion Battery Cells - Forge Nano

JSR Corporation Completes Strategic Acquisition of Yamanaka Hutech Corporation to Bolster Semiconductor Materials Portfolio with CVD and ALD Precursors

On August 2, 2024, JSR Corporation announced the successful acquisition of Yamanaka Hutech Corporation, a renowned supplier of high-purity chemicals for the semiconductor industry. The acquisition, finalized on August 1, 2024, positions YHC as a wholly-owned subsidiary of JSR. This strategic move allows JSR to enhance its product offerings, particularly in semiconductor film-forming technologies, and aligns with its growth strategy aimed at strengthening its presence in the advanced semiconductor materials sector. JSR is committed to driving innovation, optimizing supply chains, and maintaining strong customer relationships as the semiconductor industry undergoes significant changes.



JSR Corporation's acquisition of Yamanaka Hutech Corporation (YHC) brings YHC's high-purity CVD and ALD precursors into JSR's portfolio, enhancing its capabilities in semiconductor materials. YHC, with over 60 years of expertise in advanced molecular design and synthesis technology, has a strong track record in supplying high-quality CVD/ALD precursors, particularly in competitive ALD material areas. This acquisition allows JSR to diversify beyond its traditional focus on photoresists and strengthens its position as a global leader in advanced semiconductor materials, poised to drive innovation in both miniaturization and device structure advancements.

Sources:

JSR Completes Acquisition of All Shares in Yamanaka Hutech ~ Accelerating Semiconductor Materials Industry Reorganization~ | 2024 | News | JSR Corporation

JSR to make Yamanaka Hutech, a high-purity chemical for semiconductors, a wholly owned subsidiary ~Expanding the product portfolio in the field of cutting-edge semiconductor deposition~ | 2024 | News | JSR Corporation

Jusung Engineering Posts Stellar Q2 Recovery with 207% Sales Surge, Driven by Semiconductor Market Rebound

Jusung Engineering reported a robust financial recovery in the second quarter of 2024, with sales soaring by 207% to 97.3 billion won ($72.0 million) compared to the same period last year, and an operating profit margin of 37%. This turnaround follows a challenging first quarter and is driven by increased orders and deliveries of semiconductor equipment, including a significant contract with SK Hynix for DRAM manufacturing in China. The company's expertise in Atomic Layer Deposition (ALD) technology and its expansion into OLED and solar power sectors position it well for continued growth as the semiconductor market rebounds.


Sources:


ACM Research Advances in ALD with Ultra FnA Furnace System for Semiconductor Manufacturing

ACM Research, a US company specializing in wafer cleaning equipment for the semiconductor industry, presents a strong growth opportunity. The company beat Q2 estimates, raised its fiscal year guidance, and is well-positioned to benefit from the expected significant growth in the wafer cleaning equipment market, particularly in China. ACM Research's competitive advantages include high investment in R&D and the ability to provide highly customized solutions. 

The Ultra FnA Furnace System by ACM Research is designed for the precise and uniform deposition of ultra-thin films using thermal Atomic Layer Deposition (ALD), which is essential for advanced integrated circuits (ICs) and compound semiconductor manufacturing as logic nodes shrink. It effectively deposits silicon nitride (SiN) and silicon carbide nitride (SiCN) on high aspect ratio 3D structures, such as FinFETs and nanosheets, ensuring good step coverage and uniformity across wafers. The system boasts superior process control through its innovative hardware and proprietary algorithms, offering high-throughput batch processing, cost-effectiveness, and customization for various advanced semiconductor processes, with the capability to process up to 100 wafers at a time.



ACM Research Advances in ALD with Ultra FnA Furnace 300 mm System for Semiconductor Manufacturing


About ACM Research

ACM Research offers a comprehensive portfolio of tools designed to support various semiconductor manufacturing applications, including ICs, compound semiconductors, wafer-level packaging, and wafer manufacturing. Their advanced product range includes solutions for multiple processing steps such as wet cleaning, electroplating, thermal deposition, ALD, and more. Known for delivering customized, high-performance technology that enhances productivity and efficiency, ACMR is committed to meeting the diverse needs of high-volume manufacturing with a low cost of ownership. With a strong IP portfolio and a global presence, ACMR leverages its extensive industry expertise and international support network to provide innovative solutions and world-class service to customers across Asia, North America, and Europe. Founded in California in 1998, the company operates manufacturing and support facilities in China and South Korea.

Sources:

Ultra FnA ALD Furnace System - ACM Research, Inc.

ACM Research: A Promising Semiconductor Growth Opportunity Outpacing Risks (NASDAQ:ACMR) | Seeking Alpha

Saturday, August 10, 2024

The AVS ALD ALE 2024 Conference in Helsinki - Record Breaking Attendance and Deposition Speed of ALD

The AVS ALD ALE 2024 conference in Helsinki, Finland, which took place from August 4-7, 2024, attracted significant attention number of delegates (number still pending) and reporting on social media among professionals in the field of atomic layer deposition and etching. Participants and companies highlighted key moments and innovations presented during the conference.

One of the major highlights shared on platforms like X/Twitter was the celebration of the 50th anniversary of Atomic Layer Deposition (ALD), with special recognition given to Dr. Tuomo Suntola, the pioneer of ALD technology. His opening remarks were highly anticipated and well-received, marking a significant milestone in the field.
Attendees shared their experiences from the welcome reception and the technical sessions, with many noting the high caliber of presentations and the importance of networking opportunities provided by the event under the tag #ALDALE2024 (#ALDep - Search / X (twitter.com)). Overall, social media posts reflected an as usual vibrant and engaged ALD & ALE community, excited about the advancements and collaborations emerging at AVS ALD 2024 in Helsinki.


The 2024 Chairs for ALD Prof. Mikko Ritala and Prof. Markku Leskelä and for ALE Prof. Fred Roozeboom and Dr. Dmitry Suyatin. In the middle ASM Internationals former CTO Ivo Raaijmakers and on the rigt Dr. Tuomo Suntola, The ALD Inventor himself. LINK


The largest group photo at the ALD/ALE 2024 backdrop by registration - Helsinki University! LINK


A Crowded House for the Plenary by Dr. Suntola. LINK


Congratulations to ALD Innovator Awardee Annalise Delabie also presenting to a full house! LINK


Plenary talk by Ivo Raaijmakers, The leading ALD company ASM International. LINK


Best poster ALD 2024 Award by BALD Engineering. Thermal Ru without desalination by Parmish Kaur. LINK


One of numerous Finnish Sauna Events LINK


ALD Tough Guys and social events. LINK

Additionally, the leading ALD & ALE companies showcased their latest advancements. For example, Lotus Applied Technology drew attention for their presentation on ultra-high-speed ALD film growth, achieving deposition rates of 30 Å/second while maintaining film uniformity. This breakthrough was a trending topic among attendees, reflecting the ongoing innovation in the ALD sector.

Lotus Applied Technology reported: The research on ultra-high-speed spatial Plasma-Enhanced Atomic Layer Deposition (PEALD) introduces a novel approach to separating ALD half-reactions by leveraging a unique plasma-based mechanism. Instead of traditional differential flow and pumping, the process utilizes a gas shroud surrounding the plasma electrode, which facilitates the neutralization of oxidation radicals, preventing interaction with metal precursor vapors within the reactor. This method effectively separates the reactive species and allows for high deposition rates, achieving coating speeds over 25 angstroms per second for SiO₂ films. The process also includes innovations to reduce ozone byproducts, such as using carbon dioxide as the plasma gas and applying an active catalyst in the exhaust path​ (Lotus Applied Technology | Home).

At the end the AVS ALD ALE 2025 was presented: The AVS 25th International Conference on Atomic Layer Deposition (ALD 2025) featuring the 12th International Atomic Layer Etching Workshop (ALE 2025) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. The conference will take place Sunday, June 22-Wednesday, June 25, 2025, at the International Convention Center Jeju (ICC Jeju), Jeju Island, South Korea. ald2025 (avs.org)

ALD Program Chair:
Prof. Han-Bo-Ram (Boram) Lee
(Incheon National University, South Korea)


ALE Program Chair:
Prof. Heeyeop Chae
(Sungkyunkwan University, South Korea)



The 2024 Chairs handing over to the 2025 Chairs in Korea. LINK






Thursday, August 1, 2024

AVS ALD/ALE conference returns to Helsinki after 20 years to celebrate 50 years of ALD!

The AVS 24th International Conference on Atomic Layer Deposition (ALD 2024), alongside the 11th International Atomic Layer Etching Workshop (ALE 2024), will be held from August 4-7, 2024, at Messukeskus in Helsinki. Organized by the American Vacuum Society (AVS), the event returns to Helsinki after 20 years to mark the 50th anniversary of Dr. Tuomo Suntola's pioneering work on ALD. Dr. Suntola, who received the Millennium Technology Prize in 2018 for his contributions to ALD, will deliver the opening remarks. Professors Mikko Ritala and Markku Leskelä from the Department of Chemistry serve as the program chairs for this year's conference.



The ALD conference, focusing on the science and technology of atomic layer controlled deposition and etching of thin films, alternates between the United States, Europe, and Asia. The last Helsinki event in 2004 celebrated 30 years of ALD. This year's conference is expected to break attendance records with nearly one thousand participants and received an unprecedented 502 abstracts. The event highlights significant industry involvement, with 55% participation from industry representatives last year

The plenary talk will be given by Dr. Ivo J. Raaijmakers of ASM, The Netherlands, emphasizing the long-standing collaboration between the University of Helsinki and ASM. Countries contributing the most abstracts include the United States, South Korea, Germany, Finland, the Netherlands, and Japan.


Conference page: ald2024 (avs.org)

Thursday, July 18, 2024

Chipmetrics Launches New Test Chips for Advanced Atomic Layer Processes

Finnish 3D thin film semiconductor metrology specialist launches new PillarHall LHAR5 test chip with 100 nanometer gap height, complements its metrology solution with new ASD-1 chip for Area Selective Deposition.

Joensuu, Finland – July 15th, 2024 – Chipmetrics Oy, an innovative metrology solutions provider to the semiconductor industry, announces the launch of two new test chips, the PillarHall LHAR5 and the ASD-1. The PillarHall LHAR5 silicon test chip builds on the success of its predecessor PillarHall LHAR4, with the new LHAR5 test chips being better suited for the most advanced 3D semiconductor device high aspect ratio structures with a gap height as low as 100 nm. Fitting seamlessly into Chipmetrics’ pocket wafer concept, it also allows for fast and accurate process control with full 300-millimeter compatibility.

The PillarHall LHAR5 test chip comes in two variations, with a 100-nanometer and a 500-nanometer gap height. The new 100-nanometer gap height allows engineers to research and compare possible dimensional effects in film penetration depth in line with 500-nanometer gap chips. This allows for new insights into film conformality control and a deeper understanding of 3D NAND, DRAM and other nanoelectronics containing high aspect ratio structures.

“With the launch of PillarHall LHAR5, ASD-1 and the 300-millimeter pocket wafer concept our product line is compatible with the most advanced and challenging semiconductor deposition and etch technologies like ALD, ALE and ASD. The Chipmetrics test chips with our pocket wafer concept is directly compatible with and ready to be used in all existing deposition tools,” says Mikko Utriainen, CEO of Chipmetrics.



The Chipmetrics ASD-1: Prototyping and Process Control for Area Selective Deposition workflows

Launched concurrently with the PillarHall LHAR5 is the Chipmetrics ASD-1 test chip, for prototyping and process control of Area Selective Deposition (ASD) workflows. As the name implies, ASD allows for selective growth of thin films on specific substrate areas, while avoiding it on others, with the ASD-1 test chip aiming to give customers easy access to high-quality data for process control and R&D.

The ASD-1 test chip features a high surface planarity, low line edge roughness, and small line widths which are crucial for ASD applications in advanced semiconductor manufacturing. The ASD-1 test chip features arrays of sub-100 nanometer narrow line structures with alternating materials aligned on the planar silicon substrate for accurately characterizing self-aligned area selective depositions through either Atomic Layer Deposition (ALD) or Chemical Vapor Deposition (CVD) and related processes. The Chipmetrics ASD-1 helps engineers to accelerate ASD process development to meet challenges in miniaturizing and scaling, as well as in reducing defects and improving yield.

Both the PillarHall LHAR5 and ASD-1 test chips are available immediately. For more information on the products, please visit Chipmetrics.com.

About Chipmetrics

Chipmetrics Oy develops and delivers metrology solutions for manufacturing processes for the semiconductor industry, focusing on innovative metrology chips and ALD measurement services. Its main product is the PillarHall® metrology chip for near-instantaneous thin film process conformality measurement. Founded in 2019, its head office is in Joensuu, Finland, with employees and sales partners in Japan, South Korea, USA, and Germany.

For more information, visit www.chipmetrics.com.

Press contact:
Jonas Klar
Chipmetrics Oy

Editor’s note on ALD:
Atomic Layer Deposition (ALD) is a precision thin-film deposition technique crucial for semiconductor manufacturing, enabling the production of uniform and conformal layers essential for microelectronic devices. Through alternating exposure to precursor gases that react with the substrate in a self-limiting manner, ALD achieves atomic-level control over film thickness and composition. This method ensures exceptional uniformity across complex geometries, vital for the miniaturized, multi-layered structures such as the future’s 3D chips in advanced semiconductor devices, keeping Moore’s Law alive.

Finland plays a key role in the ALD landscape, having pioneered the process in the 1970s. Finland’s contribution to ALD includes significant advancements in materials science, equipment design, and the exploration of new applications ranging from electronics to renewable energy sectors. The country’s strong emphasis on research and development in nanotechnology has positioned it as a hub for ALD innovation, fostering collaborations between academia, industry, and research organizations worldwide.

Thursday, July 11, 2024

Chipmetrics' Metrology Workshop

This exclusive workshop provides opportunity to learn about Angstrom scale metrology of ALD thin films in ultra High Aspect Ratio structures. In addition, it gives insights of most advanced rapid analytical methods of ALD conformality.

This unique educational opportunity is being held in the fascinating venue in the lake district of Finland.

Workshop flyer and program can be downloaded from here:

Registration Fee: €650

Date: Thursday, 8th August 2024

Location: Vainoniemi villa, Joensuu, Finland
Contact us at +358 41 740 1098 or zahra.ghaderi@chipmetrics.com



Sunday, June 16, 2024

Boosting the Future: Increased ALD Use Paves the Way for Advanced GAAFET Technology

The Biden administration is considering a complete ban on the export of chips utilizing Gate All-Around Field Effect Transistor (GAAFET) technology to China, Bloomberg reports (LINK). The rationale behind this potential ban is the concern that such advanced transistors could be leveraged for military applications and artificial intelligence (AI) advancements by China. This move follows previous restrictions from 2022, when the U.S. barred its Electronic Design and Automation (EDA) companies from selling tools necessary for GAAFET development to China. In addition, advanced chip exports from companies like Nvidia were restricted, with these measures being progressively tightened and expanded over time.

Atomic Layer Deposition (ALD) is celebrating its 50th anniversary in 2024. The anniversary marks 50 years since Dr. Tuomo Suntola and his colleagues filed the first patent for Atomic Layer Epitaxy in 1974, which laid the foundation for ALD technology. This milestone will be celebrated at various events, including the ALD 2024 conference, where Dr. Suntola is expected to deliver the opening remarks .

ASM International, a leader in Atomic Layer Deposition (ALD), plays a crucial role in enabling Gate-All-Around Field Effect Transistors (GAAFETs) and continued semiconductor scaling. ALD's precision in depositing ultra-thin, uniform films is essential for creating the high-performance, low-power structures required by GAAFETs. This technology, along with other advanced processes such as epitaxy and selective etching, supports the intricate fabrication steps needed for these next-generation transistors.

The production of GAAFETs requires a significant increase in the use of ALD technology - maybe up to 40% more according to ASM. ALD is essential for creating the ultra-thin, uniform films needed for GAAFET structures, ensuring high-quality, defect-free layers that are critical for advanced transistor performance. This technology enables precise control over the deposition process, crucial for developing high-k dielectrics and other materials that enhance GAAFET performance and efficiency. As the semiconductor industry now transitions from FinFET to GAAFET technology, leveraging ALD's capabilities is vital for maintaining and advancing Moore's Law, enabling more powerful and energy-efficient chips using existing manufacturing infrastructure

Applied Materials has outlined next-generation tools essential for producing 3nm and GAA transistors, such as those in Samsung's upcoming 3GAE and 3GAP technologies. These advanced tools address the complexities of GAA transistor manufacturing, including precise lithography, epitaxy, and selective materials removal. Applied's Producer Selectra Selective Etch IMS tool is pivotal in defining channel width without damaging surrounding materials, while the Centura Prime Epi tool ensures clean deposition of Si and SiGe nanosheets. Additionally, their Integrated Materials Solution (IMS) systems integrate atomic layer deposition (ALD), thermal steps, and plasma treatments to optimize the gate oxide stack, enhancing performance and reducing gate leakage. These innovations are crucial as they enable higher performance, lower power consumption, and greater transistor density, aligning with the industry's move from FinFET to GAA technology.

Today GAA transistors are currently in mass production only by Samsung, which offered the technology to customers with its 3-nanometer process in 2022. Intel is set to follow, producing GAAFET on its 2-nanometer process expected to be available in its products later this year. TSMC, the market leader, plans to introduce GAAFET with its own 2 nm process in 2025. The GAAFET technology itself is not inherently suited for AI or military applications but represents an evolution in transistor design, enabling denser packing of transistors as lithography equipment and manufacturing processes advance. This technology shift, akin to transitioning to a new node, typically results in either reduced power consumption or improved performance by 15-25%.

The improvements facilitated by GAAFET could significantly enhance the capabilities available to China. SMIC, China's largest contract manufacturer, currently produces chips on a 7 nm process and is believed to be capable of reaching at least 5 nanometers with existing tools. The combination of this process with GAAFET could theoretically prevent China from falling too far behind Western advancements. However, China has been effectively shut out from developing GAAFET using tools from leading EDA companies, all of which are American. Additionally, the Dutch company ASML, dominant in the lithography equipment market, has not sold its EUV (Extreme Ultraviolet) machines to China and faced further restrictions in 2023 on selling its advanced DUV (Deep Ultraviolet) equipment. In April 2024, ASML took another step in the tech war against China by announcing that it would no longer service existing equipment in China, potentially crippling the country's semiconductor manufacturing capabilities. The specific details of the new export bans are still unclear, but Reuters notes that initial proposals have faced criticism from the U.S. semiconductor industry for being overly broad and extensive.


Source: USA överväger ytterligare GAAFET-sanktioner mot Kina – Semi14, www.ASM.comApplied Materials Outlines Next-Gen Tools for 3nm and GAA Transistor Era (anandtech.com)Atomic layer deposition, next-gen transistors, and ASM (techfund.one)

Monday, June 10, 2024

NCD Co., Ltd. has supplied ALD equipment for manufacturing perovskite solar cells to Korea Electric Power Corporation

NCD Co., Ltd. has recently supplied KEPCO Research Institute (KEPRI) with its dedicated ALD equipment (Lucida GS-P360) for perovskite solar cells (PSCs). This is equipment for depositing SnO2 thin films, which plays a role as the electron transport layer (ETL) in high-efficiency PSCs. The Lucida GS-P360 enhances high productivity as it can simultaneously processes ALD on multiple glass substrates, making it suitable for mass production.

SnO2 layers deposited via the ALD process allows for the uniform thin film deposition on the nanometer scale, offering higher light transmittance in the visible spectrum compared to TiO2. Additionally, SnO2 exhibits high conductivity and excellent stability. PSCs are gaining great attention as next-generation solar cells due to their simplicity in fabrication, efficiency, and cost-effectiveness. KEPRI has focused on PSC research and achieved an efficiency of 19.8% on 50x50 mm² glass substrates. They are targeting commercialization with 150x150 mm² glass substrate modules, achieving 18% efficiency, and are developing a 20 kW-class building-integrated photovoltaic (BIPV) system for demonstration, anticipating full-scale commercialization within a few years.

Although ALD processes generally offer advantages such as low-temperature processing, superior thin film quality, process reliability, and scalability, the slow deposition rate can significantly increase production costs. However, NCD's ALD equipment for PSCs employs NCD's proprietary high-productivity ALD technology, enabling the processing of SnO2 on 180x180 mm² glass substrates, achieving an outstanding throughput of over 100 glasses per hour, even with the use of high-temperature Sn precursors that are typically challenging to handle.

Moreover, the supplied equipment is capable of handling large-area glass substrates (360x360 mm²), facilitating the manufacture of large-area BIPV PSCs. Specifically, for BIPV applications, because glass substrates thicker than 2 mm are used, the heating of the glass substrates for the ALD process can be time-consuming, limiting productivity. However, NCD's Lucida GS-P360, equipped with a proprietary heating system (patent pending), significantly reduces the time required for heating thick glass substrates, thereby ensuring high productivity.

NCD Co., Ltd. is expected to lead the high-productivity ALD technology and equipment market for PSC manufacturing and will continue to strive to grow as the world's leading ALD company.


< Lucida GS-P360 >

About NCD Co., Ltd:

NCD Co., Ltd. is a rapidly growing Korean company specializing in the development and manufacturing of ALD (Atomic Layer Deposition) and CVD (Chemical Vapor Deposition) equipment. Founded in 2010 and based in Daejeon, NCD focuses on providing advanced equipment, process development, coating services, and consulting for industries such as solar cells and OLED displays. Their innovative solutions aim to enhance efficiency and productivity in high-volume manufacturing.

For more information, visit their official website: NCD Tech.


Thursday, April 25, 2024

Fundamentals of ALD course – 6-7 June 2024, University of Bath, UK

The "Fundamentals of ALD" course, scheduled for June 6-7, 2024 at the University of Bath, UK, targets newcomers and professionals seeking to deepen their understanding of atomic layer deposition (ALD). It will cover the theoretical and practical aspects of ALD, including surface chemistry, process configurations, reactor design, and material properties. Professors Gregory Parsons, Seán Barry, and Erwin Kessels will lead the course, offering both foundational insights and advanced techniques relevant to laboratory and industrial applications.

The course will run from noon-to-noon across two days, featuring seven detailed lectures interspersed with Q&A sessions and a mixer event on the first evening. Registration is open until May 24, 2024, with fees varying for industry professionals, academia members, and students. The event will take place in the “6 West South” building at the University of Bath, and participants are advised to arrange their own accommodation, with several hotel suggestions provided near the venue.


Link: Fundamentals of ALD course –  6-7 June 2024, University of Bath, UK – ALDAcademy


ASM a revenue of €639 million Q12024 - driven significantly by sales in Atomic Layer Deposition (ALD) and Epitaxy (Epi) technologies.

Here are the key points from ASM International NV's financial results for the first quarter of 2024:

The company reported a revenue of €639 million, at the upper end of their guidance, driven significantly by sales in Atomic Layer Deposition (ALD) and Epitaxy (Epi) technologies.

The foundry and memory segments were the leading contributors to revenue. While the combined logic/foundry segment saw a decline year-over-year, it improved from the previous quarter. The automotive semiconductor market showed weakness, whereas the memory market is showing signs of recovery.
  • Gross margin increased to 52.9%, largely due to strong sales performance in the Chinese market.
  • New orders reached €698 million, marking a 10% increase from the previous year, mainly driven by the foundry sector. The company expects continued demand for gate-all-around technology, with significant orders anticipated in the second half of the year.
  • Despite a slowdown in certain segments like power/analog/wafer, ASM International maintains a strong financial position with a cash reserve of €720 million at the end of the quarter. Sales in China are expected to remain robust.



Monday, April 15, 2024

Ahead of the 50 Years of ALD celebration in Helsinki, learn about the origins, growth and future of the AVS ALD Conference with Greg Parsons and Steve George

The AVS ALD Conference is the main event for those in Atomic Layer Deposition. The 3-day meeting rotates between the US, Europe and Asia, chock full of interesting parallel sessions, an industry exhibition, and a few sponsored extracurricular activities. In August, the ALD conference will return for the first time in 20 years to Helsinki, Finland, the technologys place of origin. 2024 marks 50 years since Tuomo Suntolas original patent application for ALD, and this year we will celebrate the meteoric rise of the atomic scale process. In this exclusive interview from The ALDepartment, Tyler sits down with two of the founding members of the AVS ALD Conference, Professor Greg Parsons from North Carolina State University, and his PhD advisor at the University of Colorado Boulder, Professor Steven George, to talk about the origins, growth and future of the meeting. Greg and Steve discuss the challenges surrounding the conception of the conference, an unexpected letter from a major ALD company, the enormous success of the 1st conference and how they believe the meeting may change in the future. 


In this Interview: 
00:00 Intro 
01:43 How the conference started 
10:00 An unexpected letter from ASM 
12:16 The first AVS ALD conference 
22:37 Growth and direction 
33:12 Future of AVS ALD
42:16 Reflections and favorite conferences




A New Zr Precursor Enhances Wafer-Scale Zirconium Dioxide Films

A new class of Zirconium (Zr) precursor, featuring boratabenzene ligand, has been developed by a team led by Mohd Zahid Ansari at Yeungnam University, enabling the production of highly conformal ZrO2 thin films via Atomic Layer Deposition (ALD). This innovation, detailed in a recent study published in Science Advances, uses tris(dimethylamido)dimethylamidoboratabenzene zirconium and oxygen as reactants to achieve amorphous ZrO2 films at temperatures ranging from 150–350 °C on SiO2/Si substrates.


The new approach decouples the conventional ALD process, enhancing the deposition temperature window and achieving a growth per cycle of 0.87 Å, which surpasses previous methods using different Zr precursors. The films exhibit extreme conformality with complete step coverage, even on substrates with complex topographies, marking a significant advancement in semiconductor fabrication.

This development not only streamlines the manufacturing process by using O2 as a mild oxidant but also promotes safer and more efficient production methods. The films transition into nanocrystalline cubic ZrO2 upon annealing at 850 °C, enhancing their properties for potential use in high-temperature applications and as coatings for optical filters. The research team's breakthrough paves the way for next-generation semiconductor devices with improved performance and reliability.

The use of ZrO2 in DRAM helps in addressing several challenges associated with the miniaturization of memory devices. As device dimensions continue to shrink, traditional silicon dioxide (SiO2) used in older generations of DRAM becomes less effective due to increased leakage currents and decreased reliability. ZrO2, with its higher dielectric constant, allows for greater data storage capacity and improved efficiency without compromising the device's size or power requirements.

Source: New class of Zr precursor containing boratabenzene ligand enabling highly conformal wafer-scale zirconium dioxide thin films through atomic layer deposition - ScienceDirect