Showing posts with label ALD - Atomic Layer Deposition. Show all posts
Showing posts with label ALD - Atomic Layer Deposition. Show all posts

Thursday, April 25, 2024

Fundamentals of ALD course – 6-7 June 2024, University of Bath, UK

The "Fundamentals of ALD" course, scheduled for June 6-7, 2024 at the University of Bath, UK, targets newcomers and professionals seeking to deepen their understanding of atomic layer deposition (ALD). It will cover the theoretical and practical aspects of ALD, including surface chemistry, process configurations, reactor design, and material properties. Professors Gregory Parsons, Seán Barry, and Erwin Kessels will lead the course, offering both foundational insights and advanced techniques relevant to laboratory and industrial applications.

The course will run from noon-to-noon across two days, featuring seven detailed lectures interspersed with Q&A sessions and a mixer event on the first evening. Registration is open until May 24, 2024, with fees varying for industry professionals, academia members, and students. The event will take place in the “6 West South” building at the University of Bath, and participants are advised to arrange their own accommodation, with several hotel suggestions provided near the venue.


Link: Fundamentals of ALD course –  6-7 June 2024, University of Bath, UK – ALDAcademy


ASM a revenue of €639 million Q12024 - driven significantly by sales in Atomic Layer Deposition (ALD) and Epitaxy (Epi) technologies.

Here are the key points from ASM International NV's financial results for the first quarter of 2024:

The company reported a revenue of €639 million, at the upper end of their guidance, driven significantly by sales in Atomic Layer Deposition (ALD) and Epitaxy (Epi) technologies.

The foundry and memory segments were the leading contributors to revenue. While the combined logic/foundry segment saw a decline year-over-year, it improved from the previous quarter. The automotive semiconductor market showed weakness, whereas the memory market is showing signs of recovery.
  • Gross margin increased to 52.9%, largely due to strong sales performance in the Chinese market.
  • New orders reached €698 million, marking a 10% increase from the previous year, mainly driven by the foundry sector. The company expects continued demand for gate-all-around technology, with significant orders anticipated in the second half of the year.
  • Despite a slowdown in certain segments like power/analog/wafer, ASM International maintains a strong financial position with a cash reserve of €720 million at the end of the quarter. Sales in China are expected to remain robust.



Monday, April 15, 2024

Ahead of the 50 Years of ALD celebration in Helsinki, learn about the origins, growth and future of the AVS ALD Conference with Greg Parsons and Steve George

The AVS ALD Conference is the main event for those in Atomic Layer Deposition. The 3-day meeting rotates between the US, Europe and Asia, chock full of interesting parallel sessions, an industry exhibition, and a few sponsored extracurricular activities. In August, the ALD conference will return for the first time in 20 years to Helsinki, Finland, the technologys place of origin. 2024 marks 50 years since Tuomo Suntolas original patent application for ALD, and this year we will celebrate the meteoric rise of the atomic scale process. In this exclusive interview from The ALDepartment, Tyler sits down with two of the founding members of the AVS ALD Conference, Professor Greg Parsons from North Carolina State University, and his PhD advisor at the University of Colorado Boulder, Professor Steven George, to talk about the origins, growth and future of the meeting. Greg and Steve discuss the challenges surrounding the conception of the conference, an unexpected letter from a major ALD company, the enormous success of the 1st conference and how they believe the meeting may change in the future. 


In this Interview: 
00:00 Intro 
01:43 How the conference started 
10:00 An unexpected letter from ASM 
12:16 The first AVS ALD conference 
22:37 Growth and direction 
33:12 Future of AVS ALD
42:16 Reflections and favorite conferences




A New Zr Precursor Enhances Wafer-Scale Zirconium Dioxide Films

A new class of Zirconium (Zr) precursor, featuring boratabenzene ligand, has been developed by a team led by Mohd Zahid Ansari at Yeungnam University, enabling the production of highly conformal ZrO2 thin films via Atomic Layer Deposition (ALD). This innovation, detailed in a recent study published in Science Advances, uses tris(dimethylamido)dimethylamidoboratabenzene zirconium and oxygen as reactants to achieve amorphous ZrO2 films at temperatures ranging from 150–350 °C on SiO2/Si substrates.


The new approach decouples the conventional ALD process, enhancing the deposition temperature window and achieving a growth per cycle of 0.87 Å, which surpasses previous methods using different Zr precursors. The films exhibit extreme conformality with complete step coverage, even on substrates with complex topographies, marking a significant advancement in semiconductor fabrication.

This development not only streamlines the manufacturing process by using O2 as a mild oxidant but also promotes safer and more efficient production methods. The films transition into nanocrystalline cubic ZrO2 upon annealing at 850 °C, enhancing their properties for potential use in high-temperature applications and as coatings for optical filters. The research team's breakthrough paves the way for next-generation semiconductor devices with improved performance and reliability.

The use of ZrO2 in DRAM helps in addressing several challenges associated with the miniaturization of memory devices. As device dimensions continue to shrink, traditional silicon dioxide (SiO2) used in older generations of DRAM becomes less effective due to increased leakage currents and decreased reliability. ZrO2, with its higher dielectric constant, allows for greater data storage capacity and improved efficiency without compromising the device's size or power requirements.

Source: New class of Zr precursor containing boratabenzene ligand enabling highly conformal wafer-scale zirconium dioxide thin films through atomic layer deposition - ScienceDirect

Sunday, April 14, 2024

Hanwha to supply ALD Equipment for Molybdenum Deposition for Memory Applications

According to Korean media, Hanwha Precision Machinery is developing a new type of thermal atomic layer deposition (ALD) equipment for depositing molybdenum, which is emerging as a superior material for metal gates in next-generation semiconductors due to its lower resistivity and lack of fluoride residue. The new technology, still in the prototype stage and expected to take three years to commercialize, uses molybdenum dichloride dioxide (MoO2Cl2) as a precursor. This initiative marks Hanwha's expansion into the semiconductor fabrication equipment market, collaborating with industry giants like SK Hynix on future projects, including the development of hybrid bonding equipment for high bandwidth memory production.

At two recent conferences, EFDS ALD For Industry and CMC 2024 this week in Phoenix, Air Liquide presented HVM ready solution for MoO2Cl2 sub fab delivery. They also confirmed that it is already in HVM. Other sources claim that Mo is also in HVM for DRAM. However, no reverse engineering is publicly available as of to day.


Air Liquide presenting HVM ready sub fab solution for MoO2Cl2 precursor delivery at EFDS ALD for Industry in Dresden, Germany.

Hanwha developing thermal ALD equipment for deposition of molybdenum - THE ELEC, Korea Electronics Industry Media (thelec.net)

Apple Partners with Taiwanese Largan to Advance iPhone Camera Plastic Lenses Using ALD Technology - Updated

Apple has been replacing the glass lenses in future iPhone cameras with advanced plastic lenses that have successfully passed customer testing. Two years prior, Apple's supplier Largan invested heavily in ALD (Atomic Layer Deposition) deposition machines specifically for this purpose, costing over $13.9 million each. This investment paid off with significant business from the coating of lenses for the iPhone 15 series, which introduced a periscope lens in its Pro model—a first for iPhones.

Looking ahead, there's anticipation that these new plastic lenses might feature in the iPhone 16 or 17. Largan's chairman, Lin Enping, confirmed the successful testing of a new plastic film, though it remains uncertain if it will be ready for the next iPhone release. This transition to plastic could potentially enhance camera durability, particularly by reducing lens flare and protecting the lenses from damage in case of a fall.


Speculation abounds that Apple might be the customer Lin referred to, although he did not specify. Market analysts highlight that a move to plastic lenses would not only signify a significant technological shift but also align with Apple's ongoing innovation in camera technology.


Update: Apple has used plastic lenses up to and including the iPhone 15 line-up – with one exception. The tetraprism lens used in the iPhone 15 Pro Max is a glass-plastic hybrid known as 1G3P – that is, one glass element, three plastic. This is a compromise designed to bring some of the quality gain from a glass element, without the disadvantages of an all-glass design. Many of the elements in a lens are there purely to correct for various types of distortion. Using at least one glass element eliminates some of those distortions, allowing for fewer elements. Apple's Glass And Plastic Hybrid Lens In The iPhone 15 Pro Max Will Spark A Trend For The Competition To Follow (wccftech.com)


The iPhone 16 Pro is tipped to receive the 5x optical zoom tetraprism lens currently available only on the largest iPhone 15 Pro Max model. This lens will bring Apple’s current most powerful zoom capabilities to the smaller of the two Pro models. However, according to another rumor from last year, the iPhone 16 Pro Max may pull ahead again with an even stronger “ultra-long” telephoto camera. New Apple Leak Reveals Major iPhone 16 Pro Camera Upgrade (forbes.com)

Largan Precision Co., Ltd., based in Taiwan, is a leading manufacturer of optical lens modules, primarily for smartphones and cameras. Renowned for supplying high-quality camera lenses for Apple's iPhone, Largan specializes in high-end lens modules. The company has invested heavily in advanced technologies such as atomic layer deposition (ALD) to enhance lens durability and image quality. Largan's significant production capacity and commitment to innovation make it a key player in the optics industry, pivotal in advancing smartphone camera technology. This role is critical for meeting the high demands of major smartphone manufacturers like Apple.

Source: Apple Seeks to replace Glass Lenses in Future iPhone Cameras with next-gen Plastic Lenses that have already passed customer testing - Patently Apple

Rémi Maillat's Watch Brand Launches €145,500 Titanium Timepiece with Nature-Inspired, ALD-Coated Green Dial

The watchmaker founded by Rémi Maillat in 2017 reveals its deep connection with nature with a bold monochromatic titanium timepiece. The spiral dial motif is covered in a shade of green, inspired by both the glowing aurora borealis and the green meadows of spring. The striking colour was achieved using the ALD (Atomic Layer Deposition) coating method, in which the craftsmen deposited extremely thin layers of copper oxide, which interacted with light to create this distinctive hue. Priced at €145,500, it is a testament to craftsmanship and innovation in the world of horology.



KRAYON PRESENTS 
« ANYWHERE AURORA » 
THE FIRST TITANIUM WATCH BY KRAYON

A new colour, Green. Like the reflection with our intimate relationship with nature. A Limited Edition of only 25

Lovers of green rejoice. It's a green that evokes the powerful phenomena of nature. The skies that become fluorescent with the hypnotic northern lights. But also, the first days of spring with the rebirth of fertile soil. It can also be the green that evokes fresh grass after rain, lush meadows, forests, valleys, and that need for wide-open spaces that inspires us to embark on adventure. It’s a colour that heralds a feeling of renewal, of optimism and a new, organic energy directly linked with our natural universe – surprising yet self-evident, as if it had always been part of Krayon’s spirit since its foundation only six years ago.

The brand founded by Rémi Maillat in 2017 has a profound connection to nature. This connection is manifest in its hallmark complication: a personal and intimate ephemeris. Until now, this theme has consistently been presented in various shades of blue, often drawing inspiration from reflections on water surfaces. Today, for the first time, KRAYON boldly explores a new palette and combines it with a new, lighter, more modern metal: Grade 5 titanium.

Friday, March 22, 2024

Surfs are going to be up at the PRiME Symposium G01 on ALD & ALE Applications 20, in Honolulu | Oct. 6-12, 2024

Every four years, the PRiME Joint International Meeting is held under the auspices of the Electrochemical Society (ECS), joint with its sister Societies of Japan and Korea. This fall, PRIME 2024 will be held on Oct. 6-11, 2024 in Honolulu, Hawaii, and is expected to gather over 4000 participants and 40 exhibitors from both academia and industry.


The conference has a strong focus on emerging technology and applications in both solid-state science & technology and electrochemistry.

General information and the Meeting Program can be found here: CALL FOR PAPERS.

The organizers of symposium G01 on “Atomic Layer Deposition & Etching Applications, 20” encourage you to submit your abstract(s) on topics, comprising but not limited to:

1. Semiconductor CMOS applications: development and integration of ALD high-k oxides and metal electrodes with conventional and high-mobility channel materials;
2. Volatile and non-volatile memory applications: extendibility, Flash, MIM, MIS, RF capacitors, etc.;
3. Interconnects and contacts: integration of ALD films with Cu and low-k materials;
4. Fundamentals of ALD processing: reaction mechanisms, in-situ measurement, modeling, theory;
5. New precursors and delivery systems;
6. Optical, photonic and quantum applications; applications aiming at Machine Learning, Artificial Intelligence
7. Coating of nanoporous materials by ALD;
8. Molecular Layer Deposition (MLD) and hybrid ALD/MLD;
9. ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;
10. ALD for energy storage applications;
11. Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates, including roll-to-roll deposition;
12. Area-selective ALD;
13. Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.

FYI: Last year in Gothenburg, our symposium G01 on ALD & ALE Applications 19 attracted a record number of 78 presentations, composing a full 4-day schedule of 66 oral (of which 18 invited), plus 12 poster presentations.

We will traditionally attract more attendants from Far East and expect to be as successful this fall in Hawaii.

Abstract submission

Meeting abstracts should be submitted not later than the deadline of April 12, 2024 via the ECS website: Submission Instructions

Invited speakers

List of confirmed invited speakers (from North America, Asia and Europe):

1. Bart Macco, TU Eindhoven, Netherlands, Review of ALD for solar cells
2. Maarit Karppinen, Aalto University, Finland, ALD/MLD for energy / membrane technology
3. Chad Brick, Gelest, USA, Silanes and silazanes precursors for Area Specific Deposition
4. Makoto Sekine, Nagoya Univ., Japan, Low damage ALE of AlGaN
5. Rong Chen, HUST Univ. Wuhan, China, ALD for Cataysis and other applications
6. Mikhael Bechelany, IEM, Montpellier, France, Recent Advancements and Emerging Applications in ALD on High-Porosity Materials
7. Miika Mattinen, Univ Helsinki, Finland, ALD of dichalcogenides for electrocatalysis
8. Bonggeun Shong, Hongik University, Korea, Theory of area-selective ALD
9. Miin-Jang Chen, National Taiwan Univ., Inhibitor-free Area-Selective ALD
10. Hyungjun Kim, Yonsei University, Korea, ALD of “Group 16 Compounds” for Emerging Applications (2D TMDCs)
11. Agnieszka Kurek, Oxford Instruments, United Kingdom, Faster ALD for Emerging Quantum Applications
12. Matthew Metz, Inte, USA, Keynote on "Materials Challenges in Future Semiconductor Devices"
13. Junling Lu, University of Science and Technology of China, ALD for Catalysis
14. Sung Gap Im, KAIST, Korea, Vapor-phase Deposited Functional Polymer Films for Electronic Device Applications
15. Jason Croy, Argonne National Lab, USA, Next-gen batteries & ALD
16. Mark Saly, Applied Materials, USA, Key Challenges in Area Selective Deposition: from R&D Scale to High Volume Manufacturing

Visa and travel

For more information, see: VISA AND TRAVEL INFORMATION

In addition, Mrs. Francesca Spagnuolo at the ECS (Francesca.Spagnuolo@electrochem.org) can provide you with an official participation letter issued by the Electrochemical Society.

For (limited) general travel grant questions, please contact travelgrant@electrochem.org.

We are looking forward to meeting you all at our symposium G01 on ALD & ALE Applications 20, in Honolulu | Oct. 6-12, 2024 !

Tuesday, March 19, 2024

Tokyo Electron ALD of AlN Thin Films Report Unprecedented Uniformity on Large Batch 200 mm Tool

In the rapidly evolving world of semiconductor technology, achieving high uniformity in thin films is important for enhancing production yield and device performance. In a study led by Partha Mukhopadhyay and his team at Tokzo Electron has made significant strides in this domain, using ALD of aluminum nitride (AlN) thin films on a 200 mm large batch furnace platform. AlN is particularly relevant for gallium nitride (GaN)-based power industry, where AlN's wide bandgap, high dielectric constant, and superior thermal conductivity make it an ideal choice for various applications, including UV LEDs, transistors, and micro-electromechanical systems.


The study's focus lies in its ability to maintain extraordinary uniformity across large batches of 200 mm wafers, achieving a thickness variation of less than 0.5 Å. This level of uniformity was obtained by optimizing the ALD process in a reactor capable of handling over 100 wafers, marking a significant achievement in high-volume production environments. The research examined the effects of deposition temperatures, film thicknesses, and different substrate types, including Si, quartz, and GaN/Si(111), on the material and optical properties of the AlN films.


One of the key findings was the identification of an optimal narrow temperature window between 300°C and 350°C for the deposition process, with 350°C being the sweet spot. The study also delved into the nuanced challenges of nucleation on different substrates, revealing that substrate-inhibited growth and a non-linear deposition rate are pivotal factors to consider. This understanding is crucial for maintaining uniformity in extremely thin films, which are sensitive to the underlying substrate's crystal orientation.

From a compositional standpoint, the development showcased the high purity of the AlN films, with negligible carbon and oxygen contamination. This purity is essential for the semiconductor industry, particularly for applications where chemical stability is critical. The study's rigorous material analysis, which included techniques like XPS and TEM, provided in-depth insights into the AlN films' structural and compositional integrity.

Optically, the AlN films demonstrated a bandgap of 5.8 eV, a key attribute for their use in optoelectronic applications. The research also highlighted the refractive index's dependence on film thickness and deposition temperature, offering valuable data for the design and optimization of optical devices.

In summary, this study represents a significant progress in ALD of AlN thin films, combining high throughput with exceptional film uniformity and quality. 

Source: Nucleation of highly uniform AlN thin films by high volume batch ALD on 200 mm platform | Journal of Vacuum Science & Technology A | AIP Publishing

Thursday, March 7, 2024

Aalto University in Finland Wins Major Grant for Eco-Friendly Semiconductor Technology

Aalto University, in close collaboration with key industry players including Applied Materials in Finland (Picosun), PiBond, and Volatec, has been awarded a significant grant by Business Finland for their groundbreaking project titled “New chemistries for resource-efficient semiconductor manufacturing”. This initiative is a part of the larger "Chip Zero" Ecosystem, spearheaded by Picosun, aiming to revolutionize the semiconductor industry by developing chips that boast zero lifetime emissions—a first in Finland's tech landscape.

Led by Professors Maarit Karppinen and Antti Karttunen from Aalto's Department of Chemistry and Materials Science, the project seeks to address the pressing environmental concerns associated with semiconductor manufacturing. With the industry's carbon footprint and resource consumption at an all-time high, this co-innovation venture promises to pave the way for more sustainable production methods.



Dr. Ramin Ghiyasi working in the CHEMI-SEMI project holding a silicon wafer after atomic layer deposition, Department of Chemistry and Material Science

The project's goals are ambitious yet crucial. By innovating new chemical processes and materials, the team aims to minimize the environmental impact of semiconductor fabrication. This includes the development of novel, eco-friendly precursors and solvents, enhancing material purification, and advancing recycling practices, as highlighted by Dr. Marja Tiitta from Volatec.

Dr. Thomas Gädda of PiBond emphasizes the importance of collaborative efforts in achieving these sustainability targets, underscoring the project's reliance on a synergy of expertise from academia and industry. This collaborative framework is expected to yield advancements in chemical usage, process optimization, and energy efficiency in semiconductor manufacturing.

With its comprehensive approach, combining experimental research with computational modeling, the project aspires not only to innovate within the confines of semiconductor technology but also to set a new standard for environmentally conscious manufacturing practices in the industry.

Source: Significant Grant for Greener Semiconductor Technology from Business Finland | Aalto University

Wednesday, February 28, 2024

ASM International: Spearheading Semiconductor Innovation in ALD, Epitaxy, and CVD Markets

ASM International N.V. (Euronext Amsterdam: ASM) yesterday reported its fourth quarter 2023 operating results (unaudited). Double-digit full-year revenue growth, outperforming softer WFE market in 2023

“2023 was another successful year for ASM. Sales increased by 13% at constant currencies, despite softening market conditions, and marking the seventh consecutive year of double-digit growth.” said Benjamin Loh, CEO of ASM. “Revenue in Q4 2023 amounted to €633 million, in line with our guidance of €600-640 million and down compared to the level in Q4 2022. Revenue in the quarter was supported by strong sales in the power/analog/ wafer segment. Bookings at €678 million were slightly better than our expectation and were driven by GAA pilot- line orders and continued strength in China demand.

ASM's Leadership in the Growing ALD Market

According to ASM, the single wafer Atomic Layer Deposition (ALD) market is experiencing significant growth, with projections indicating an increase from $2.6 billion in 2022 to a range of $4.2 billion to $5.0 billion by 2027. This growth, characterized by a Compound Annual Growth Rate (CAGR) of 10-14% from 2022 to 2027, underscores the expanding role of ALD technology in semiconductor manufacturing. ASM International, a key player in the semiconductor industry, holds a dominant position in this market, commanding a share of over 55% throughout the forecast period.

Please note that this market assessment, most probably originally from TechInsights (prev. VLSI Research) does not include Large Batch furnace ALD, which historically have been about 30% of the total 300 mm ALD equipment market. The leaders in this segment are Tokyo Electron followed by Kokusai and ASM chose not to compete with its A412 ALD product line.

Driving Forces Behind ALD Market Expansion

The expansion of the ALD market is propelled by a series of technological advancements and increasing demands within the semiconductor sector. Key factors contributing to this growth include the industry's shift towards Gate-All-Around (GAA) technology, the necessity for advanced high-k gate dielectrics, and the precision required for threshold voltage tuning. Additionally, the development of sacrificial layers and the use of high aspect ratio Through-Silicon Vias (TSVs) are critical in advancing semiconductor manufacturing techniques. The application of metals and the adoption of selective ALD processes further accentuate the importance of ALD technology in modern semiconductor fabrication.


ASM's Strategic Positioning and Market Opportunities

ASM is well-positioned to capitalize on the opportunities presented by the burgeoning ALD market. The company's strategic emphasis on innovation, coupled with its comprehensive product portfolio, positions ASM as a frontrunner in meeting the evolving needs of the logic/foundry and memory segments of the semiconductor industry. The transition to advanced manufacturing technologies, such as GAA and high-k metal gate applications, presents significant growth avenues for ALD, with ASM at the forefront of this technological evolution.

To be more specific, the transition to GAA technology and the expansion in FinFET applications are set to significantly increase ASM's served available market by approximately US$400 million for every 100,000 wafer starts per month (WSPM). According to ASM, the equipment orders started to come in in the 2nd half of 2023. We can assume that this are orders from Samsung, TSMC and Intel. It is however about peculiar since Samsung had 3 nm GAA going already with yield in August 2023 and ASM is describing it as GAA pilot lines. Anyhow, come 2028 when all leading foundries including Rapidus in Japan are up and running GAAFETs, this additional market will be + USD 1.5 B as compared to if it would have been "only" FinFET technology - according to my back of the envelope calculations. For a company like ASM, with just below USD 3 B (2.6 B EUR) annual Revenue 2023 this is a huge thing. If this is not enough to go woah - add to that the GAAFET market is an upwards moving target and will continue to grow and looking ahead stacking of NMOS/PMOS will drive further demand for this type of ALD and Epi processes.

Expansion into the Epitaxy and CVD Markets

The Silicon Epitaxy (Si epi) market is also on a growth trajectory, with forecasts suggesting it will reach between $2.3 billion and $2.9 billion by 2027. ASM aims for a market share target of over 30%, focusing on both leading-edge and non-leading-edge segments. The leading-edge growth is driven by transitions to GAA technology and advancements in high-performance DRAM, while the non-leading-edge growth is buoyed by wafer power analog and strong momentum from ASM's Intrepid ESA. The epitaxy market is expected to see a Compound Annual Growth Rate (CAGR) of 3-8% from 2022 to 2027, with the leading-edge segment outpacing the overall market with a CAGR of 10-15%.

Regarding the SiC market, the investor presentation highlighted significant growth in power/analog/wafer revenue, almost doubling, primarily driven by robust demand in China. This growth was positively impacted by the consolidation of LPE (SiC Epitaxy), with sales comfortably exceeding the target of more than €130 million in 2023. This indicates ASM's strong performance in the SiC market and its successful integration and expansion in SiC epitaxy, aligning with the broader industry trend towards more advanced and efficient semiconductor materials.

Chemical Vapor Deposition (CVD) technology is another area of focus for ASM, particularly in the context of transitioning to new materials like Molybdenum, which is replacing traditional materials such as CVD Tungsten and PVD Copper in interconnect applications. This shift is indicative of the evolving needs within the semiconductor manufacturing process and highlights ASM's adaptability to changing market dynamics.

In summary, ASM's strategic initiatives in ALD, Epitaxy, and CVD technologies underscore the company's commitment to innovation and leadership within the semiconductor equipment market. Through a combination of market foresight, technological prowess, and strategic investments, ASM is well-positioned to capitalize on the growth opportunities presented by the evolving semiconductor landscape. 

Tuesday, February 27, 2024

Applied Materials Unveils Cutting-Edge Patterning Technologies for Next-Gen Semiconductor Device Manufacturing

Applied Materials is leading the charge into the angstrom era of chipmaking, unveiling a suite of innovative solutions at the SPIE Advanced Lithography + Patterning conference. The company's focus is on overcoming the challenges posed by extreme ultraviolet (EUV) and high-NA EUV lithography, crucial for the production of chips at 2nm process nodes and below. Their approach integrates new materials engineering, metrology techniques, and pattern-shaping technology to enhance chip performance and yield.


To help overcome patterning challenges for leading-edge chips, Applied Materials offers a portfolio of technologies designed to complement the latest advances in lithography. The company’s newest innovations include the Producer® XP Pioneer® CVD patterning film, the Sym3® Y Magnum™ etch system, the Centura® Sculpta® pattern-shaping system and Aselta contour technology for design-based metrology.

Central to Applied Materials' advancements is the Sculpta® pattern-shaping technology, first introduced at the previous year's conference. Sculpta has seen growing adoption among top logic chipmakers for its ability to refine EUV patterning, notably reducing double patterning steps and mitigating defects such as bridge defects. This technology not only lowers patterning costs but also improves chip yields, showcasing its increasing importance in the semiconductor manufacturing landscape.


Over the next few years, chipmakers will be looking to create “angstrom era” chips that will use EUV and High-NA EUV lithography to pattern their smallest features. An entire ecosystem of capabilities will be required to enable this advanced patterning – including software and design tools, innovations in deposition and etch, advanced metrology and inspection systems, and entirely new approaches such as pattern shaping.

In response to the issue of EUV line edge roughness, Applied Materials has launched the Sym3® Y Magnum™ etch system. This innovative system employs a combination of deposition and etch processes within a single chamber to smooth out rough edges before etching, thereby enhancing yield and chip performance.

Additionally, the company introduced the Producer® XP Pioneer® CVD patterning film, designed for high-fidelity pattern transfer with enhanced resistance to etch chemistries. This film is especially significant for advanced process nodes, offering improved sidewall feature uniformity and co-optimization with both Sculpta and the Sym3 Y Magnum system for superior patterning capabilities.

To address the critical issue of feature alignment across chip layers, Applied Materials has acquired Aselta Nanographics, integrating its design-based metrology with Applied's leading eBeam systems. This integration enables a comprehensive metrology solution that significantly enhances feature placement accuracy, crucial for optimizing chip performance and yield.

Applied Materials' expansion of its patterning solutions portfolio underscores its commitment to advancing semiconductor technology. By addressing key challenges in EUV lithography and introducing groundbreaking technologies, the company is setting new standards for the industry, driving forward the capabilities of angstrom era chipmaking.

Source: Applied Materials Expands Patterning Solutions Portfolio for Angstrom Era Chipmaking | Applied Materials

DOE Invests $4M in Argonne's ALD Tech to Develop Energy-Efficient Semiconductor Devices

The US Department of Energy (DOE) has awarded Argonne National Laboratory a $4 million grant to pioneer research in microchip energy efficiency using Atomic Layer Deposition (ALD). This innovative project, part of the DOE's Energy Efficient Scaling for Two Decades (EES2) initiative, aims to harness the potential of 2D materials, specifically molybdenum disulfide (MoS2), to create microchips that could consume up to 50 times less energy than current models. 


Led by Argonne's Distinguished Fellow Jeffrey Elam, the research team will collaborate with Stanford, Northwestern, and Boise State Universities to develop ALD techniques for fabricating atomically precise MoS2 films. This breakthrough could lead to microchips with integrated memory and logic functions, significantly reducing energy waste and addressing the critical "von Neumann bottleneck" in computing. The project is a step forward in the global effort to enhance computational efficiency and sustainability.

Monday, February 26, 2024

PRiME 2024: A Global Convergence on Atomic Layer Processing Set for Honolulu This October

The PRiME Joint International Meeting, organized by the Electrochemical Society and sister societies from Japan and Korea, will take place from October 6-11, 2024, in Honolulu, Hawaii. Anticipating over 4000 participants, the conference will focus on solid-state science, technology, and electrochemistry. Symposium G01 invites submissions on Atomic Layer Deposition and Etching, covering topics from semiconductor applications to energy storage. The deadline for abstract submission is April 12, 2024. Last year's event saw 78 presentations, indicating a strong interest in the field. For visa, travel information, and participation letters, contact ECS representatives.



Every four years, the PRiME Joint International Meeting is held under the auspices of the Electrochemical Society (ECS), joint with its sister Societies of Japan and Korea.

This fall, PRIME 2024 will be held on Oct. 6-11, 2024 in Honolulu, Hawaii, and is expected to gather over 4000 participants and 40 exhibitors from both academia and industry.

The conference has a strong focus on emerging technology and applications in both solid-state science & technology and electrochemistry.

General information and the Meeting Program can be found here: CALL FOR PAPERS.

The organizers of symposium G01 on “Atomic Layer Deposition & Etching Applications, 20” encourage you to submit your abstract(s) on topics, comprising but not limited to:

1. Semiconductor CMOS applications: development and integration of ALD high-k oxides and metal electrodes with conventional and high-mobility channel materials;

2. Volatile and non-volatile memory applications: extendibility, Flash, MIM, MIS, RF capacitors, etc.;

3. Interconnects and contacts: integration of ALD films with Cu and low-k materials;

4. Fundamentals of ALD processing: reaction mechanisms, in-situ measurement, modeling, theory;

5. New precursors and delivery systems;

6. Optical, photonic and quantum applications; applications aiming at Machine Learning, Artificial Intelligence

7. Coating of nanoporous materials by ALD;

8. Molecular Layer Deposition (MLD) and hybrid ALD/MLD;

9. ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;

10. ALD for energy storage applications;

11. Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates, including roll-to-roll deposition;

12. Area-selective ALD;

13. Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.

FYI: Last year in Gothenburg, our symposium G01 on ALD & ALE Applications 19 attracted a record number of 78 presentations, composing a full 4-day schedule of 66 oral (of which 18 invited), plus 12 poster presentations.

We will traditionally attract more attendants from Far East and expect to be as successful this fall in Hawaii.

Abstract submission

Meeting abstracts should be submitted not later than the deadline of April 12, 2024 via the ECS website: Submission Instructions

Invited speakers

A list of confirmed invited speakers (from North America, Asia and Europe) will soon be available.

Visa and travel

For more information, see: VISA AND TRAVEL INFORMATION

In addition, Mrs. Francesca Spagnuolo at the ECS (Francesca.Spagnuolo@electrochem.org) can provide you with an official participation letter issued by the Electrochemical Society.

For (limited) general travel grant questions, please contact travelgrant@electrochem.org.

We are looking forward to meeting you all at our symposium G01 on ALD & ALE Applications 20, in Honolulu | Oct. 6-12, 2024 !

Symposium organizers:

F. Roozeboom, (lead), University of Twente; e-mail: f.roozeboom@utwente.nl,
S. De Gendt, IMEC & Catholic University Leuven,
J. Dendooven, Ghent University,
J. W. Elam, Argonne National Laboratory,
O. van der Straten, IBM Research,
A. Illiberi, ASM Europe,
G. Sundaram, Veeco,
R. Chen, Huazhong University of Science and Technology,
O. Leonte, Berkeley Polymer Technology,
T. Lill, Clarycon Nanotechnology Research,
M. Young, University of Missouri,
A. Kozen, University of Vermont.

Thursday, February 15, 2024

Webinar - ALD of nitrides - enabling metastable nitrides by plasma ALD

Welcome to the next Applied® Picosun® research community webinar!

Time: Tuesday, 9th of April, 2024 at 13:00 CET
Length: 45 minutes

Although ALD is well known for binary oxides, it is less understood for binary nitrides. Some commonly studied nitride examples are SiNx and TiN that have been extensively investigated while GaN and AlN are less reported since ALD has not been needed for these materials. We have recently shown how plasma ALD seems to be a true enabler for InN, which is metastable both as binary and when combined to form ternaries. Apart from nitrides for optoelectronics, metastable cubic AlTiN has been used to increase the service life of cutting tools. Cubic AlTiN is routinely synthesized using physical vapor deposition techniques operating far from thermal equilibrium. Recently, it has been shown that metastable, cubic AlTiN with high Al content can be deposited close to thermodynamic equilibrium by deposition techniques working at very low pressures. However, very little is understood about the deposition chemistry in these processes, limiting process development to be supported by educated guesses rather than scientific understanding. In the research, a scientific understanding of the deposition chemistry for cubic AlTiN is formed, to facilitate the development of better, more sustainable processes for these coatings.




Speaker: Pamburayi Mpofu, Linköping University, Pedersen Group

Pamburayi Mpofu is a third-year Doctoral Candidate in Materials Chemistry and a member of the Henrik Pedersen Group in the Department of Physics, Chemistry, and Biology (IFM) at Linköping University, Sweden.

Pamburayi holds a Master’s degree in Chemistry from Linköping University. His research interests are in Inorganic Materials Chemistry with a focus on atomic layer deposition (ALD). He is currently working on understanding, on a fundamental atomic level, the surface chemistry governing the deposition of metastable ternary nitrides (in particular AlTiN) thin layers of materials by ALD, for protective hard coating applications.
"I will describe the general problems for doing ALD of nitrides and why ALD seems to be an enabler for metastable nitrides will be described. With focus on my research on AlTiN I will show how I use ALD in developing an understanding of the surface chemistry during the deposition processes. Using in-situ techniques, to study the surface chemistry while navigating the precursor chemistry to generate experimental data that we compare with modeling results to provide an atomic scale perspective of the surface chemistry."


Scalable Electronic-Grade Van der Waals Tellurium Thin Films

Scalable Electronic-Grade Van der Waals Tellurium Thin Films: A study demonstrated a scalable ALD route for creating electronic-grade van der Waals tellurium (Te) thin films. By employing acid-base precursors and co-reactants, the research team successfully produced dense, continuous Te thin films on a wafer scale. This breakthrough is crucial for various electronic devices, promising enhancements in transistors, rectifiers, and selection elements.


Process Controlled Ruthenium on 2D Engineered V-MXene via Atomic Layer Deposition for Human Healthcare Monitoring

Engineering 2D MXene Family with Precious Metals: A novel approach has been introduced for the engineering of the 2D MXene family using precious metals through ALD techniques. This development opens new possibilities in personal healthcare devices, clean energy conversion, and storage systems by enabling the integration of precious metals like Ru, Ir, Pt, and Pd at an atomic scale, enhancing surface activity and energy performance​​.

In the study, a traveling-wave type thermal Atomic Layer Deposition (ALD) reactor (Lucida D-100, NCD Technology, Korea) was utilized to deposit ruthenium films on SiO2/Si wafers and delaminated V2CTx MXene. The ruthenium metal-organic precursor used was tricarbonyl(trimethylenemethane)ruthenium, [Ru(TMM)(CO)3], provided by TANAKA Precious Metals (Japan). Oxygen (O2) served as the reactant gas in the deposition process. The ALD process involved a sequence of precursor pulsing, nitrogen purging, reactant gas pulsing, and another nitrogen purging to ensure self-limiting growth and uniform film deposition.



A schematic of atomic layer deposition process and step coverage of ALD-Ru film. Credit: Advanced Science (2023). DOI: 10.1002/advs.202206355

The key highlights and potential applications of this research include:

Enhanced Temperature Sensing Performance: The delaminated V-MXene engineered with ruthenium via ALD shows a threefold increase in temperature sensing performance compared to V-MXene alone. This improvement is attributed to the highly ordered few-layer structure of V-MXene and the controlled atomic doping of ruthenium, forming a heterostructure that enhances sensing and reversibility.

Advanced Material Characterization: The study uses high-resolution electron microscopy techniques coupled with next-generation technology for detailed investigation of the heterostructure's formation, providing insights into the role of ruthenium in improving the sensor's performance.

Potential for Healthcare Applications: The sensor's high sensitivity and reliability in temperature detection make it suitable for various healthcare applications, including real-time skin temperature monitoring, non-contact touch, and breathing rate detection. This could be particularly useful for personal healthcare devices, offering a non-invasive way to monitor vital signs and detect potential health issues early.

Human-Machine Interface: The sensor's ability to detect temperature changes accurately and reliably can be applied in human-machine interfaces, such as wearable devices or smart textiles, enhancing user interaction through temperature-sensitive controls or feedback mechanisms.

Scalability and Environmental Consideration: The use of an industrially scalable ALD technique for sensor development, combined with a mild etching process for V-MXene synthesis, points towards the potential for large-scale production with reduced environmental impact.

Versatility and Multifunctionality: The combination of V-MXene's large surface area, hydrophilicity, and the electronic properties of ruthenium suggests that beyond temperature sensing, this material system could be explored for other applications like humidity sensing, energy storage, and conversion, indicating a broad scope for future research and development.

Thursday, January 25, 2024

Chipmetrics Secures € 2.4 Million Seed Funding Round to Accelerate Product Innovation and Global Expansion.

24.01.2024– Joensuu, Finland: Chipmetrics Ltd, the Atomic Layer Deposition (ALD) technology company and a pioneer in 3D ultra-high aspect ratio test elements for metrology of semiconductor and advanced thin film material manufacturing, proudly announces the successful closure of a €2.4 million investment round. The international investor syndicate was led by High-Tech Gründerfonds (HTGF) and co-led by OCCIDENT from Germany. Other investors were Innovestor, REDSTONE and BALD Engineering. This substantial investment serves as a testament to Chipmetrics’ groundbreaking advancements in the PillarHall® metrology test chip technology, positioning the company as a frontrunner in conformality measurements in material deposition processes. The funds raised will be instrumental in accelerating Chipmetric’s product development efforts, scaling production capabilities, and expanding its market presence with the already existing international customer base.


Founders and management team of Chipmetrics Ltd. Persons in the picture, from left to right: Feng Gao (CTO), Pasi Hyttinen (CDO) and Mikko Utriainen (CEO).


Mikko Utriainen, the visionary founder & CEO of Chipmetrics, highlights the investment’s role in driving the company’s innovative pursuits and global market expansion. “This investment is a boost for our growth plans and technological advancements. We are committed to enhancing our product portfolio, refine our measurement solutions, and reinforce our global sales network ” Utriainen states.

Olaf Joeressen, Senior Investment Manager of HTGF comments: “The team’s focus on delivering innovative solutions to their customers is impressive, and I look forward to more product and service innovations delivered by Chipmetrics!”

Investment manager Simon Schild von Spannenberg from OCCIDENT continues: “Chipmetrics has already established a reputable position in thin film process development with its innovative PillarHall® Lateral High Aspect Ratio (LHAR) test chips. Chipmetrics’ products convince with their unique user interface, precision, speed, and cost-efficiency in the complex 3D measurements. Our investment reflects our confidence in the Chipmetrics’ team and the success of the highly innovative technology on the global semiconductor market.”

About Chipmetrics

Chipmetrics Oy develops and delivers metrology solutions for manufacturing processes for the semiconductor industry. The company’s business is based on the sale of innovative metrology chips and measurement services whose applications are focused on ALD technology. The company’s main product is the PillarHall® metrology chip for thin film process conformality measurement. The company was founded in 2019 and its head office is in Joensuu, Finland. In addition, the company has employees and sales partners in Japan, South Korea, the USA, and Germany.

For more information, visit www.chipmetrics.com.

About High-Tech Gründerfonds

The seed investor High-Tech Gründerfonds (HTGF) finances tech start-ups with growth potential and has supported more than 700 start-ups since 2005. With the launch of its fourth fund, HTGF now has about 1.4 billion euros under management. Its team of experienced investment managers and start-up experts support young companies with expertise, entrepreneurial spirit and passion. HTGF’s focus is on high-tech start-ups in the fields of digital tech, industrial tech, life sciences, chemistry and related business areas. To date, external investors have injected about 5 billion euros of capital into the HTGF portfolio via more than 2,000 follow-on financing rounds. In addition, HTGF has already successfully sold shares in more than 170 companies. Fund investors in this public-private partnership include the German Federal Ministry for Economic Affairs and Climate Action, KfW Capital and 45 companies from a wide range of industries.

For more information at www.htgf.de.

About OCCIDENT

OCCIDENT is an international venture capital investor with offices in Munich and Zug, is owner-managed and invests its own assets. Its mission is to make a positive contribution to society through sustainable and meaningful investments. OCCIDENT invests in innovative deep tech start-ups with excellent technologies, broad application possibilities and the potential for further development in the fields of life sciences, industrial tech and digital. OCCIDENT acts as a long-term enabler for highly innovative startups and visionary founders.


About Innovestor

Innovestor is a Finnish investment company focusing on venture capital and real estate. In addition, we offer corporate venturing services. The firm currently manages six VC funds with total capital of over €250 million. Consisting of almost 100 growth companies across multiple sectors of technology and life science & health, it represents one of the largest private venture-backed portfolios in the Nordics. Our mission is to make good money.


About Redstone

Redstone, headquartered in Berlin with offices in Zurich and Helsinki, is a European early-stage venture capital firm that pursues selected investment strategies. With its data-driven approach, Redstone develops strong sector expertise, building valuable networks for its portfolio. As one of Europe’s most active early-stage investors, Redstone manages a diverse portfolio of over 80 companies, focusing on Built World, Climate, Fintech, Industrial Tech, Social Impact, and Quantum.

Contact Information:

Mikko Utriainen, CEO, Chipmetrics Ltd


Tel: +358 10 348 1344



Tuesday, January 23, 2024

Atomic Scale Innovation Workshop in Copenhagen

PIONEERING ATOMIC SCALE INNOVATION - FROM MATERIALS TO SCALABLE APPLICATIONS

Hosted by ATLANT 3D, this event is a gathering point for industry experts, researchers, and technical professionals, all focused on exploring the vast potential of Atomic Layer Deposition (ALD) and related technologies.

The future of manufacturing lies in precision and sustainability. At ATLANT3D, we are deeply committed to advancing the frontiers of atomic scale manufacturing, unlocking novel avenues for materials development and scalable applications. This conference is our call to arms, bringing together the brightest minds to push the boundaries of what’s possible.




Topics & Highlights:
• ALD's current market and applications in various industries.
• In-depth presentations on advanced materials innovation.
• Interactive discussions on the future of atomic layer processing.

Speakers:
• PROF. DR. Fred Roozeboom, UNIVERSITY OF TWENTE
Topic: “Atomic Layer Deposition: Its Evolution, Diverse Applications, and Future Prospects”.
• DR. Maksym Plakhotnyuk 🇺🇦, CEO & FOUNDER OF ATLANT 3D
Topic: “Atomic Layer Processing: Driving Global Innovation in Advanced Manufacturing”.
• PROF. DR. Erwin Kessels, EINDHOVEN UNIVERSITY OF TECHNOLOGY Topic: “Innovations in Plasma Processing for Nanoscale Fabrication”.
• DR. Mira Baraket, HEAD OF TECHNOLOGY R&D AT ATLANT 3D
Topic: “Advancements in 2D Materials Synthesis Through Atomic Layer Deposition”.
• DR. Alexander Kozen, UNIVERSITY OF VERMONT
Topic: “Progress and Opportunities for Atomic Layer Deposition to Facilitate Next-Generation Batteries”.
• PROF. DR. Julien Bachmann, FAU ERLANGEN-NÜRNBERG
Topic: “Harnessing ALD for Next-Generation Photovoltaics and Electrochemical Energy Storage”.
• DR. Jacques Kools, CEO & FOUNDER AT ENCAPSULIX
Topic: “ALD Deposited Ultrabarriers for Applications in Electronics, Energy, and Medical Fields”.
• DR. Ville Miikkulainen, ALTO UNIVERSITY
Topic: “Photo-assisted ALD: Enabler for Direct-Write ALD”.

Download brochure:

https://lnkd.in/eyUdPBXj

Special Features:
• Exclusive visit to ATLANT 3D's state-of-the-art A-HUB & Laboratory facilities.
• A social evening for informal networking and collaboration.

Saturday, January 20, 2024

Unveiling the Future of Material Science: Key Takeaways from the MLD and ALD Webinar

In the dynamic world of material science, the recent Applied Materials Picosun webinar held on January 16, 2024 centered on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), offered a deep dive into these groundbreaking technologies and their applications in crafting advanced functional properties. 

LINK to recording: Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)

The webinar was given by Topias Jussila, Doctoral Researcher, Aalto University, Finland. Let's explore how ALD and MLD are shaping the future of materials at the nanoscale.

The Emergence of MLD

Molecular Layer Deposition, though a relative newcomer compared to ALD, has quickly garnered attention for its unique capabilities. MLD, which operates on the principle of sequential molecular layering, offers a versatile platform for creating hybrid materials with tailored properties. The webinar expertly delineated the different types of MLD, such as metal-aliphatics, metal-aromatics, and inorganic-organic multilayers, each presenting its distinct advantages in material fabrication.

 


Synergy of ALD and MLD

The fusion of ALD with MLD emerged as a focal point of discussion. This combination enhances the material properties, allowing for precise control at the nanoscale. The synergy of ALD and MLD opens doors to innovative applications, particularly in microelectronics and nanotechnology, by creating materials with unprecedented electrical, optical, and mechanical properties.

 


Applications That Reshape Industries

The practical applications of MLD and ALD-MLD are vast and varied. Key areas include:

Flexible Barrier Layers: MLD is particularly effective in creating ultra-thin, flexible barrier layers that are impermeable to gases and moisture. This is crucial for applications like organic light-emitting diode (OLED) displays and flexible electronics, where moisture and oxygen can degrade the performance of the devices.

Encapsulation: MLD provides an excellent method for encapsulating sensitive components, protecting them from environmental factors without compromising their functionality.

Photocatalysis: MLD materials are used in photocatalysis applications, which are important in environmental remediation and energy conversion technologies.

Electronics and Semiconductors: The combination of MLD with ALD is particularly advantageous in the electronics and semiconductor industries. It enables the precise deposition of thin films with tailored electrical and optical properties, crucial for advanced microelectronics and photonics.

Biomedical Applications: The versatility of MLD and ALD-MLD coatings also finds applications in the biomedical field, such as in drug delivery systems and bioimaging, where biocompatibility and controlled interactions with biological environments are essential.

Industrialization and Future Outlook

As for the industrialization of MLD, it is a relatively newer field compared to ALD. While ALD has been widely industrialized, particularly in the semiconductor industry, MLD is still primarily in the research and development stage, with growing interest in transitioning to industrial applications. The unique capabilities of MLD in creating organic-inorganic hybrid materials are driving research and potential industrial applications, but widespread industrial adoption might still be in progress.

Conclusion

The ALD and MLD webinar served as a beacon of knowledge, shedding light on the latest advancements and future prospects of these technologies. As we step into an era where material science plays a critical role in technological advancements, the insights from this webinar not only educate but also inspire further exploration and innovation in the field. The future of material science, undoubtedly, holds exciting possibilities, with ALD and MLD at its forefront.


Background: Topias Jussila is a second year PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. Topias carried out his Bachelor’s degree in Chemistry at the University of Helsinki and Master’s degree in Functional Materials at Aalto University. For the past two years, Topias has worked intensively with atomic layer deposition (ALD) and molecular layer deposition (MLD) with a target to develop novel thin film materials with advanced functional properties, having the main focus in iron-based inorganic and inorganic-organic materials. In addition to deposition process development, he has employed a wide range of thin film characterization methods to study the composition, structure, and functional properties of the thin films.