Showing posts with label Semiconductor. Show all posts
Showing posts with label Semiconductor. Show all posts

Sunday, June 16, 2024

Boosting the Future: Increased ALD Use Paves the Way for Advanced GAAFET Technology

The Biden administration is considering a complete ban on the export of chips utilizing Gate All-Around Field Effect Transistor (GAAFET) technology to China, Bloomberg reports (LINK). The rationale behind this potential ban is the concern that such advanced transistors could be leveraged for military applications and artificial intelligence (AI) advancements by China. This move follows previous restrictions from 2022, when the U.S. barred its Electronic Design and Automation (EDA) companies from selling tools necessary for GAAFET development to China. In addition, advanced chip exports from companies like Nvidia were restricted, with these measures being progressively tightened and expanded over time.

Atomic Layer Deposition (ALD) is celebrating its 50th anniversary in 2024. The anniversary marks 50 years since Dr. Tuomo Suntola and his colleagues filed the first patent for Atomic Layer Epitaxy in 1974, which laid the foundation for ALD technology. This milestone will be celebrated at various events, including the ALD 2024 conference, where Dr. Suntola is expected to deliver the opening remarks .

ASM International, a leader in Atomic Layer Deposition (ALD), plays a crucial role in enabling Gate-All-Around Field Effect Transistors (GAAFETs) and continued semiconductor scaling. ALD's precision in depositing ultra-thin, uniform films is essential for creating the high-performance, low-power structures required by GAAFETs. This technology, along with other advanced processes such as epitaxy and selective etching, supports the intricate fabrication steps needed for these next-generation transistors.

The production of GAAFETs requires a significant increase in the use of ALD technology - maybe up to 40% more according to ASM. ALD is essential for creating the ultra-thin, uniform films needed for GAAFET structures, ensuring high-quality, defect-free layers that are critical for advanced transistor performance. This technology enables precise control over the deposition process, crucial for developing high-k dielectrics and other materials that enhance GAAFET performance and efficiency. As the semiconductor industry now transitions from FinFET to GAAFET technology, leveraging ALD's capabilities is vital for maintaining and advancing Moore's Law, enabling more powerful and energy-efficient chips using existing manufacturing infrastructure

Applied Materials has outlined next-generation tools essential for producing 3nm and GAA transistors, such as those in Samsung's upcoming 3GAE and 3GAP technologies. These advanced tools address the complexities of GAA transistor manufacturing, including precise lithography, epitaxy, and selective materials removal. Applied's Producer Selectra Selective Etch IMS tool is pivotal in defining channel width without damaging surrounding materials, while the Centura Prime Epi tool ensures clean deposition of Si and SiGe nanosheets. Additionally, their Integrated Materials Solution (IMS) systems integrate atomic layer deposition (ALD), thermal steps, and plasma treatments to optimize the gate oxide stack, enhancing performance and reducing gate leakage. These innovations are crucial as they enable higher performance, lower power consumption, and greater transistor density, aligning with the industry's move from FinFET to GAA technology.

Today GAA transistors are currently in mass production only by Samsung, which offered the technology to customers with its 3-nanometer process in 2022. Intel is set to follow, producing GAAFET on its 2-nanometer process expected to be available in its products later this year. TSMC, the market leader, plans to introduce GAAFET with its own 2 nm process in 2025. The GAAFET technology itself is not inherently suited for AI or military applications but represents an evolution in transistor design, enabling denser packing of transistors as lithography equipment and manufacturing processes advance. This technology shift, akin to transitioning to a new node, typically results in either reduced power consumption or improved performance by 15-25%.

The improvements facilitated by GAAFET could significantly enhance the capabilities available to China. SMIC, China's largest contract manufacturer, currently produces chips on a 7 nm process and is believed to be capable of reaching at least 5 nanometers with existing tools. The combination of this process with GAAFET could theoretically prevent China from falling too far behind Western advancements. However, China has been effectively shut out from developing GAAFET using tools from leading EDA companies, all of which are American. Additionally, the Dutch company ASML, dominant in the lithography equipment market, has not sold its EUV (Extreme Ultraviolet) machines to China and faced further restrictions in 2023 on selling its advanced DUV (Deep Ultraviolet) equipment. In April 2024, ASML took another step in the tech war against China by announcing that it would no longer service existing equipment in China, potentially crippling the country's semiconductor manufacturing capabilities. The specific details of the new export bans are still unclear, but Reuters notes that initial proposals have faced criticism from the U.S. semiconductor industry for being overly broad and extensive.


Source: USA överväger ytterligare GAAFET-sanktioner mot Kina – Semi14, www.ASM.comApplied Materials Outlines Next-Gen Tools for 3nm and GAA Transistor Era (anandtech.com)Atomic layer deposition, next-gen transistors, and ASM (techfund.one)

ASML Unveils Hyper-NA EUV: Pioneering New Frontiers in Chip Innovation and Efficiency

ASML, the leader in lithography technology for semiconductor manufacturing, has launched its latest breakthrough: the Hyper-NA EUV tool and Intel being the first customer getting its first machine earlier this year. This leading-edge technology, which boosts the numerical aperture (NA) from 0.55 to 0.75, is poised to revolutionize chip design by enabling unprecedented levels of transistor density. Scheduled for introduction around 2030, Hyper-NA promises to extend the capabilities of chipmakers far beyond current limits, opening up new possibilities for intricate and powerful chip designs.

The presentation announcing ASML's Hyper-NA EUV technology was delivered by the company's former president, Martin van den Brink, at imec's ITF World event in Antwerp. 

Reduction in Double Patterning Complexity: Hyper-NA EUV technology simplifies the lithography process by reducing the need for double patterning, i.e., like Litho-Etch-Litho-Etch (LELE) etc., a method that involves aligning two masks perfectly to create intricate chip designs. By providing higher resolution and precision, Hyper-NA EUV minimizes the challenges and costs associated with double patterning, streamlining production and enhancing overall efficiency for chipmakers. However, there are a myriad of multi-patterning technologies deployed out there and SMIC, the main Chinese foundry, is reportedly using sextuple-patterning for its 5 nm technology.


Hyper-NA EUV technology is designed to significantly increase the productivity of semiconductor manufacturing, enabling the processing of 400 to 500 wafers per hour. This improvement will help chipmakers meet the growing demand for high-performance chips more efficiently, reducing production time and costs while maintaining high precision and quality.

The adoption of Hyper-NA EUV presents a myriad of opportunities for the semiconductor industry. As Intel has already installed the first High-NA systems, showcasing the potential of these advanced tools to enhance processor performance. As other industry leaders like TSMC, Samsung, Micron, and SK Hynix explore the adoption of High-NA and eventually Hyper-NA, the competitive landscape is set for a dynamic transformation. Innovations such as advanced polarizers to overcome light polarization issues and improvements in resist materials and etch selectivity will enable more precise and efficient chip manufacturing.

ASML’s Hyper-NA EUV technology is not just a short-term solution but part of a long-term roadmap that will sustain chip innovation for the next decade and beyond. Collaborative research and development efforts, including Imec’s simulations and Zeiss’s lens designs, highlight the cooperative spirit driving this technological advancement. As chip designers like Nvidia, Apple, and AMD leverage these tools at leading foundries such as TSMC, the future of chip design looks brighter than ever, promising enhanced productivity, technological leadership, and sustained growth. Hyper-NA EUV is set to redefine what is possible in the world of semiconductors, driving the industry towards new heights of efficiency and performance.

Monday, June 10, 2024

Air Liquide signed major contract to support the semiconductor industry in the U.S. with an investment of more than 250 million dollars

Air Liquide has announced a significant investment exceeding $250 million to construct a new industrial gas production facility in Idaho, USA. This plant will supply ultra-pure nitrogen and other essential gases to Micron Technology, Inc., a leading semiconductor manufacturer, as well as other local customers. The facility, part of a long-term contract, will play a crucial role in the production of memory chips and is expected to be operational by the end of 2025. This project will generate hundreds of jobs during both the construction and operational phases and is designed to be highly efficient, incorporating digital technologies and modularization to ensure reliability and quick delivery.




Matthieu Giard, Chief Executive Officer of Americas for the Air Liquide Group, said

We are pleased to further strengthen our 30 year-long partnership with Micron Technology. Our partner’s trust in Air Liquide reinforces our position in the Electronics industry as a technology leader with strong innovation capabilities. This investment will support the production of leading-edge memory chips, notably to meet the growing demand for computing capacities required by Artificial Intelligence. This contract illustrates our strategy to further accompany our customers in their development, including in the U.S. The Electronics activity is a strong driver of our 2025 strategic plan ADVANCE, which closely links financial and extra-financial performances.

This initiative exemplifies Air Liquide's commitment to technological advancement and environmental sustainability in the semiconductor sector. The new production unit will be 5% more power-efficient than previous generations and aims to use 100% renewable energy within five years. Matthieu Giard, CEO of Americas for Air Liquide, highlighted the long-standing partnership with Micron Technology and the strategic importance of this investment in supporting the demand for advanced memory chips, driven by the rise of artificial intelligence. Scott Gatzemeier of Micron Technology emphasized the project’s role in enhancing the U.S. semiconductor supply chain, driving significant growth in domestic material sourcing, and bolstering the semiconductor ecosystem across the country.

Source: Air Liquide signed major contract to support the semiconductor industry in the U.S. with an investment of more than 250 million dollars | Air Liquide

Saturday, June 8, 2024

Jusung Engineering to Spin Off Semiconductor Business, Aiming for Market Revaluation and Strategic Growth

Jusung Engineering, a a first in Korea’s chipmaking equipment industry, has announced a significant restructuring aimed at enhancing its market valuation and navigating geopolitical risks. The company will spin off its highly successful semiconductor division into a new entity, marking a strategic move to unlock greater value for its shareholders and position itself for future growth.

Chairman Hwang Chul-ju highlighted the undervaluation of Jusung despite its proprietary technologies and leading market position. By creating a new entity for its semiconductor business, Jusung aims to elevate its market cap, which currently lags behind international competitors. The new semiconductor entity, tentatively named Jusung Engineering, will operate independently, allowing it to focus solely on expanding its technological capabilities and market presence.

The spin-off comes as Jusung's semiconductor division continues to excel with its advanced film deposition technologies, including selective semi-spheric silicon deposition and atomic layer deposition (ALD). These technologies are pivotal in the production of DRAM memory, NAND flash, and logic chips. As the demand for more integrated and smaller semiconductor devices grows, Jusung's ALD equipment is set to become increasingly crucial. Additionally, Jusung’s poly etchers, applicable across various semiconductor products, will play a significant role in diversifying the company’s offerings.

Despite achieving annual sales of 200 billion won ($146 million) and holding a market cap of 1.6 trillion won, Jusung's valuation remains significantly lower than its global peers. For instance, Dutch competitor ASM boasts a market cap of 47.3 trillion won. The spin-off is expected to narrow this gap, potentially achieving comparable sales records within five years. 


The decision also aims to mitigate risks from the ongoing US-China rivalry. By separating the semiconductor business, Jusung can better shield its other divisions, including display and solar panel equipment, from potential geopolitical fallout. This strategic insulation ensures that the company’s diverse operations remain resilient in the face of international tensions.

There is speculation about Hwang Eun-seok, the chairman’s son, taking the helm of the new semiconductor entity. With a doctorate in material science and experience at Samsung Semiconductors, Eun-seok is well-prepared for leadership, though Chairman Hwang emphasizes that any succession will be merit-based.

Jusung Engineering's spin-off of its semiconductor business represents a bold move to enhance its market valuation and strategically position itself for sustained growth. By creating a focused, independent entity, Jusung aims to capitalize on its technological strengths and navigate the complexities of the global semiconductor market more effectively. This restructuring is set to unlock new opportunities and reinforce Jusung's standing as a key player in the tech industry.

Sources: Jusung, Undervalued no more: Jusung Engineering to spin off chip business (naver.com)

Tuesday, February 27, 2024

DOE Invests $4M in Argonne's ALD Tech to Develop Energy-Efficient Semiconductor Devices

The US Department of Energy (DOE) has awarded Argonne National Laboratory a $4 million grant to pioneer research in microchip energy efficiency using Atomic Layer Deposition (ALD). This innovative project, part of the DOE's Energy Efficient Scaling for Two Decades (EES2) initiative, aims to harness the potential of 2D materials, specifically molybdenum disulfide (MoS2), to create microchips that could consume up to 50 times less energy than current models. 


Led by Argonne's Distinguished Fellow Jeffrey Elam, the research team will collaborate with Stanford, Northwestern, and Boise State Universities to develop ALD techniques for fabricating atomically precise MoS2 films. This breakthrough could lead to microchips with integrated memory and logic functions, significantly reducing energy waste and addressing the critical "von Neumann bottleneck" in computing. The project is a step forward in the global effort to enhance computational efficiency and sustainability.

Saturday, February 17, 2024

After decline of -13%, TECHCET reports consecutive YoY double-digit growth

San Diego, CA, February 16, 2024: TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — is anticipating a strong rebound in the semiconductor memory market segment for 2024, which will lead the total semiconductor industry into an upturn. This comes after a decline in total semiconductor revenues to US$572 billion in 2023, a -13% change compared to 2022. Significant revenue growth is expected in 2024 of 12%, followed by even stronger growth in 2025 of 21%. Moderated growth is anticipated in 2026 as the market enters a downcycle later that year.


By 2029, the market is set to eclipse the US$900 billion point, but the elusive US$1 trillion echelon is not predicted by TECHCET to be reached until 2031 or 2032.

While the cyclicity of the semiconductor market is evident in this forecast, overall revenue trends for materials markets are more moderate and often do not exhibit the same swings in ASP’s or revenues as semiconductor device revenues. TECHCET will provide an overview of the current materials market outlooks with respective insights at their upcoming Advisory Alert Webinar, on April 21st, available to member subscribers and special guests.

To get more market and supply chain information on TECHCET’s forecasts and Critical Materials Reports™, go to:

Don’t miss the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Friday, December 29, 2023

South Korea's Semiconductor Surge Signals Global Tech Revival

South Korea's semiconductor industry is experiencing a remarkable resurgence, marking a turning point in the global tech sector. In November, chip production leaped by 42%, the highest since 2017, while shipments skyrocketed by 80%, the largest increase since 2002. This upturn is a beacon of hope for giants like Samsung Electronics Co. and SK Hynix Inc. The revival extends beyond national borders, suggesting a broader recovery in global tech demand. Amidst challenges, this surge propels South Korea's industrial output and signals a brighter economic forecast for 2023, with emerging technologies fueling further growth.



Source: South Korea Chip Output Jumps in Sign of Returning Global Demand - Bloomberg

Sunday, November 5, 2023

Global Semiconductor Sales See Mixed Trends: Monthly Rise Amid Annual Decline

Global semiconductor sales rose 1.9% in September 2023 from August, but fell 4.5% from September 2022. Q3 sales reached $134.7 billion, up 6.3% from Q2 but down 4.5% from Q3 the previous year. Sales reflect positive momentum with a strong long-term demand outlook. Increases were seen in all regions except Japan.

WASHINGTON—Nov. 1, 2023—The Semiconductor Industry Association (SIA) today announced global semiconductor sales for the month of September 2023 increased 1.9% compared to August 2023 and fell 4.5% compared to September 2022. Worldwide sales of semiconductors totaled $134.7 billion during the third quarter of 2023, an increase of 6.3% compared to the second quarter of 2023 and down 4.5% compared to the third quarter of 2022. Monthly sales are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. SIA represents 99% of the U.S. semiconductor industry by revenue and nearly two-thirds of non-U.S. chip firms.

“Global semiconductor sales increased on a month-to-month basis for the seventh consecutive time in September, reinforcing the positive momentum the chip market has experienced during the middle part of this year,” said John Neuffer, SIA president and CEO. “The long-term outlook for semiconductor demand remains strong, with chips enabling countless products the world depends on and giving rise to new, transformative technologies of the future.”

Regionally, month-to-month sales increased in Asia Pacific/All Other (3.4%), Europe (3.0%), the Americas (2.4%), and China (0.5%), but decreased slightly in Japan (-0.2%). Year-to-year sales increased in Europe (6.7%), but decreased in the Americas (-2.0%), Japan (-3.6%), Asia Pacific/All Other (-5.6%) and China (-9.4%).

For comprehensive monthly semiconductor sales data and detailed WSTS forecasts, consider purchasing the WSTS Subscription Package. For detailed historical information about the global semiconductor industry and market, consider ordering the SIA Databook.

Thursday, November 2, 2023

Atlas Copco to Bolster Semiconductor Portfolio with Acquisition of South Korean Vacuum Valve Company, Presys Co., Ltd.

  • Atlas Copco set to acquire South Korean vacuum valve producer, Presys Co., Ltd.
  • Presys reported a revenue of MKRW 35,000 in 2022 and has a workforce of 134.
  • The deal, pending regulatory approval, is anticipated to close in Q1 2024.
Swedish firm Atlas Copco has announced its intention to purchase Presys Co., Ltd, a South Korean manufacturer of vacuum valves primarily for the semiconductor sector. Located in Suwon, Presys reported 2022 revenues of MKRW 35,000 (equivalent to SEK 275 million). Geert Follens, the Business Area President of Vacuum Technique at Atlas Copco, highlighted that Presys' offerings will enhance their existing semiconductor product range. Although the transaction amount remains undisclosed, it awaits regulatory nods and is slated for completion by early 2024. Upon finalization, Presys will be integrated into Atlas Copco's Semiconductor Chamber Solutions Division within the Vacuum Technique Business Area.


Presys customers, with focus on Asia.

Sources: 

Monday, October 23, 2023

TSMC To Report Breakthrough in NMOS Nanosheets Using Ultra-Thin MoS2 Channels at IEDM 2023

A TSMC-led research team, in collaboration with National Yang Ming Chiao Tung University and National Applied Research Laboratories, has unveiled promising results for using ultra-thin transition metal dichalcogenides (TMDs), specifically MoS2, as the channel material in NMOS nanosheets. Their innovative approach deviates from the conventional method of thinning Si channels. The team's devices exhibited impressive performance metrics: a positive threshold voltage (VTH) of ~1.0 V, a high on-current of ~370 µA/µm at VDS = 1 V, a large on/off ratio of 1E8, and a low contact resistance ranging between 0.37-0.58 kΩ-µm. These outcomes were primarily attributed to the introduction of a novel C-shaped wrap-around contact, which enhances contact area, and an optimized gate stack. While the devices demonstrated satisfactory mechanical stability, a challenge remains in addressing defect creation within the MoS2 channels. This groundbreaking study, titled "Monolayer-MoS2 Stacked Nanosheet Channel with C-type Metal Contact" by Y-Y Chung et al., is a pivotal step forward in nanosheet scaling using TMDs.


ALD is a the technique for the precise and uniform synthesis of MoS₂, especially for semiconductor applications on large-scale wafers. The choice of precursors plays a crucial role in achieving optimal deposition characteristics. Mo (CO) 6 and H2S have been identified as the primary precursors for depositing molybdenum and sulfur components, respectively. These precursors have demonstrated the capacity for self-limiting growth behavior within a specific ALD temperature window, leading to uniform MoS₂ layers. Notably, this process has been successfully scaled up to achieve highly uniform film growth on large 300 mm SiO2/Si wafers, marking its potential for industry-level manufacturing. The ability to maintain uniformity and thickness control on such wafers emphasizes the potential of ALD in integrating MoS₂ into next-generation electronic devices and further underscores the significance of selecting appropriate precursors for optimal deposition outcomes. Other precursors have been investigated. MoCl₅ and MoF₆ serve as alternative molybdenum sources. For the sulfur component, H₂S is commonly paired with molybdenum precursors, but (CH₃)₂S has also been explored. The choice of these precursors directly impacts the properties of the resulting MoS₂ film in the ALD process and therefore precursor development for 2D MoS2 is a hot field of ongoing research.

While deposition methods are abundant, etching processes are comparatively scarce. Recent research by Elton Graugnard et al also introduces a thermal Atomic Layer Etching (ALE) technique for MoS2, leveraging MoF6 for fluorination, alternated with H2O exposures, to etch both crystalline and amorphous MoS2 films. This process has been characterized using various analytical techniques like QCM, FTIR, and QMS. The etching is temperature-dependent, with a significant increase in mass change per cycle as temperature rises. The mechanism involves two-stage oxidation of Mo, producing volatile byproducts. The resultant etch rates were established for different films, and post-etch annealing rendered crystalline MoS2 films. The thermal MoS2 ALE introduces a promising low-temperature method for embedding MoS2 films in large-scale device manufacturing.



Sunday, October 22, 2023

Hamas' Brutal Attacks on Israel Could Disrupt Global Tech Supply Chain and Intel's Expansion Plans

The escalating Israel-Hamas war, after Hamas brutal attack on Israel and innocent civilians, is affecting the global tech sector. Many professionals, including top executives, are now serving as reservists in the Israel Defense Forces, as highlighted by EPSNews. Intel, a major private employer in Israel, along with other tech giants like Nvidia, Apple, Amazon, and Microsoft, faces potential disruptions, especially with facilities near conflict zones. The blockade in Gaza and transportation interruptions further strain the supply chain, emphasizing the tech industry's vulnerability to geopolitical challenges.



Intel factory in Kiryat Gat, employing about 5000 workers, which manufactures computer chips (wWikipedia), Location of Intel Fabs in Israel (Google)

Kiryat Gat, situated in Israel's Southern District, is known for Intel's semiconductor fabrication plants, including Fab 28 and the upcoming Fab 38. Founded in 1954, the city has grown significantly due to Jewish immigration over the decades and it remains an educational hub with 25 schools serving over 10,000 students.

The Israel-Hamas conflict has intensified concerns over the global semiconductor supply chain, as CNBC reports. With Israel being a key player in chip production, the geopolitical unrest poses risks to the semiconductor industry. The recent kidnapping of an Nvidia engineer further accentuates these threats, prompting tech firms to prioritize their employees' safety in the region.

Bloomberg reported this summer of Intel Corp.'s initiative to set up a new manufacturing facility in Israel. This move is part of Intel's strategy to diversify its production sources. While details remain undisclosed, the facility will focus on wafer fabrication. Intel's CEO, Pat Gelsinger, intends to expand manufacturing bases outside Asia. The plant, expected to operate from 2027, will be located in Kiryat Gat and is seen as a significant foreign investment in Israel. This development aligns with the global shift in chip manufacturing, as seen with Intel's investment in Poland and Micron Technology's potential investment in India.

Sources: 

New US Roadmap Identifies Critical Semiconductor Research Priorities

Advancing semiconductor research is essential to continued innovation in the chip industry and throughout our economy. As ever-shrinking semiconductor components face fundamental physical limits, next-gen breakthroughs are unachievable without major advancements. To help address this challenge, Semiconductor Research Corporation (SRC) today unveiled the Microelectronics and Advanced Packaging (MAPT) Roadmap, which defines critical chip research priorities and technology challenges that must be addressed to support the “seismic shifts” outlined in the Decadal Plan for Semiconductors released by SRC and SIA in January 2021.


The Decadal Plan identified five seismic shifts in the industry related to smart sensing, memory and storage, communication, security, and energy efficient computing. The MAPT Roadmap continues the spirit of the Decadal Plan and discusses how to achieve its system-level goals, outlining the implementation plan for the semiconductor industry. The fundamental research that will transform these obstacles is focused on advanced packaging, 3D integration, electronic design automation, nanoscale manufacturing, new materials, and energy-efficient computing. The MAPT Roadmap is framed around fundamental and practical limits of information and communications technology sustainability: energy sustainability, environmental sustainability, and workforce sustainability.


Federal government and private sector investments in semiconductor R&D have propelled the rapid pace of innovation in the U.S. semiconductor industry, spurring tremendous growth throughout the U.S. and global economies. Using the MAPT Roadmap as a guide, we must sustain and expand public and private investments in chip research to help unlock the transformative technologies of the future.

Source: SIA, Erik Hadland, Director of Technology Policy New Roadmap Identifies Critical Semiconductor Research Priorities - Semiconductor Industry Association (semiconductors.org)



Friday, October 20, 2023

The Semiconductor Showdown: TSMC's GAA FETs vs. Intel's RibbonFET

The semiconductor industry is witnessing a fierce competition between TSMC and Intel, as they advance transistor designs with TSMC's Gate-All-Around (GAA) FETs and Intel's RibbonFET. Atomic Layer Deposition (ALD) plays an instrumental role in crafting these intricate designs. As the race to dominate the microelectronics realm heats up, the innovations from these giants foretell a transformative phase for technology between 2024 and 2026. This article dives into their respective technologies, comparing their strategies and highlighting the future implications for the semiconductor industry.

Both TSMC and Intel are pushing the boundaries of semiconductor innovation with advanced transistor designs. TSMC's GAA (Gate-All-Around) FET (Field-Effect Transistor) technology and Intel's RibbonFET are prime examples of this evolution. ALD is crucial for GAA FET production, ensuring precision and atomically thin, conformal or on purpose non-conformal or selectively deposited films. As transistors miniaturized, ALD replaced traditional silicon dioxide gate dielectrics with high-k materials, reducing gate leakage and offering enhanced uniformity. One of the challenges in GAA FETs is accurately aligning the gate material around the channel; ALD facilitates this through self-aligned processes. Additionally, in configurations with multiple gates or nanosheets, ALD accurately deposits spacer materials, preserving the necessary separation between nanosheets. ALD also offers precise doping for GAA FETs, including NMOS and PMOS. With atomic-level control, ALD introduces dopants like phosphorus for NMOS and boron for PMOS. Given the shrinking device dimensions, ALD's precision becomes vital, especially when considering techniques like solid-state doping to achieve ultra-shallow profiles.



TSMC's Gate-All-Around (GAA) FET technology represents a significant shift from the traditional FinFET transistor design. In a GAA FET, the gate material wraps entirely around the channel, unlike the FinFET where the gate is only on three sides of a vertical fin. This complete encirclement provides enhanced control over the current flow through the channel, reducing leakage current and allowing for lower voltage operation. The result is improved energy efficiency and performance.


TSMC's roadmap to N2. (Image: TSMC)

On the other hand, Intel's RibbonFET introduces a similar gate-all-around design but with a unique twist. Instead of a traditional vertical fin, RibbonFET uses nanosheet technology, where multiple flat nano-sheets are stacked to form the channel. This design offers even better control of the current flow, leading to significant gains in performance and efficiency. RibbonFET is one of Intel's flagship innovations for its advanced nodes, emphasizing the company's commitment to reclaiming technology leadership in the semiconductor space.


Intel 20A Ribbon FET (intel.com)

In a recent article Tom´s Hardware (Anton Shilow, link below) compares the advanced semiconductor technology nodes from industry TSMC and Intel, focusing on TSMC's N3P and N2 nodes against Intel's 20A and 18A nodes. Forecasted for release between 2024 and 2026, these nodes represent the forefront of semiconductor innovation. TSMC's N3P, a 3nm-class node, is set to be available by 2025 and offers performance comparable to Intel's 18A. Interestingly, TSMC's 2nm-class N2, expected in the second half of 2025, is anticipated to outpace Intel's 18A in terms of power, performance, and area advantages. Intel's 20A, arriving in 2024, promises significant advancements by introducing RibbonFET gate-all-around transistors and a backside power delivery network. The subsequent 18A will further refine these innovations. While TSMC leans on its proven FinFET technology for the N3P, it plans to introduce nanosheet GAA transistors in the N2. 

As the semiconductor race intensifies, both companies are heavily invested in outpacing each other, with TSMC focusing on technology maturity and cost-effectiveness, and Intel aiming to regain its technology leadership. The dynamics between these tech giants will shape the semiconductor industry's future.


Comparison of Advanced Semiconductor Technology Nodes: TSMC N3P & N2 vs. Intel 20A & 18A, highlighting the competitive landscape of the semiconductor industry for the years 2024-2026 based on Toms Hardware article below.

Sources: 

TSMC: Our 3nm Node Comparable to Intel's 1.8nm Tech | Tom's Hardware (tomshardware.com)

Intel and TSMC company web pages

Thursday, September 28, 2023

Semiconductor Supply Chain Problems Running Rampant?

Solutions to mitigate future materials supply vulnerabilities

By Lita Shon-Roy, MS/MBA, and Sachi Brown, TECHCET CA

Over the past 2 to 3 years, the semiconductor industry has faced extreme pressure to meet growing consumer demand for an abundance of everyday electronic products like cars, smartphones, and computers. This pressure has only been amplified by various supply chain issues stemming from the raw material sources that are essential to building semiconductors. These material dependencies are easy to overlook since they reside in the sub-tier of the semiconductor market, hidden from direct view of what is sold to chip fabricators and consumers. TECHCET, a leading materials supply chain analysis firm, has consistently worked to uncover many of these dependencies, such as for fluorspar, neon, and helium. These materials play an essential role in the supply chain lifeline to the semiconductor industry and require expertise to identify, qualify, and track for the efficient forward movement of the market.


With recent chip shortages, various producers around the world have announced plans to invest in chip expansions that total more than US$500B over the next five years. For the US alone, this equates to an increase of >45% in semiconductor wafer starts by 2026. While this sounds hopeful for resolving chip deficiencies, it still does not address one key weakness: material shortages. As the industry expands, the risk of complications to the semiconductor supply chain grows, elevating the importance for material supply chain tracking and analysis.

Sulfuric acid is one example of an essential material that would put the semiconductor supply chain at risk if its supply is not properly managed. Fortunately, TECHCET has identified a >50% increase in demand for US sulfuric acid by 2026 to help key chip fabs prepare for expansions. TECHCET consistently provides key metrics related to supply and demand to the Critical Materials Council (CMC), a consortium formed in the mid-1990’s made up of chip fabricators and material suppliers. The Council also provides feedback to TECHCET to direct their ongoing supply chain analysis work. Identifying materials-related disruptions, dependencies, and weaknesses within the supply-chain, are all key elements of TECHCET’s focus and benefits to the CMC subscriber members.

In recent years, material shortages from the Russia-Ukraine conflict and COVID-19 have proven to be high stress points for chip fabricators and material suppliers. For example, neon gas faced shortages at the onset of the Russia-Ukraine war, threatening the stability of semiconductor production and causing high anxiety among chip fabs. At the time, it was unknown how much the US and Asia relied on Ukraine for neon supply. TECHCET managed to uncover various dependencies on Ukrainian neon from different regions around the world, helping major chip companies re-evaluate and better stabilize their supply chains. During the COVID pandemic, sporadic and extreme ocean freight roadblocks also contributed to slowdowns in chip manufacturing. In response to these disruptions, CMC subscriber companies met with logistics and shipping port officials to improve mitigation strategies for further supply interruptions.

CMC member subscribers also gain insight into supply chain challenges from the CMC Seminar. The next one will be hosted in Taiwan (October 25) and will focus on current problems in the materials supply chain and future quality requirements. This event is one of several that brings conversation on supply issues to the forefront. These events connect the entire semiconductor ecosystem by providing essential information on critical materials needed by decision makers at chip fabricators, suppliers, and government. The current CMC chip fab subscribers include more than a dozen of the world’s largest chip makers. (Reference: https://cmcfabs.org)

Given the massive impact semiconductors have in our digital global society, there is a growing and persistent need to manage the coming supply-chain issues, especially with expectations for chip volume to sharply ramp come 2025-2026. Looking into the future, TECHCET and the CMC will continue to facilitate coordination among key players in the materials and chip industry to navigate what lies ahead.

For more information on TECHCET: https://techcet.com or https://cmcfabs.org/2023-cmc-seminar/.

Lita Shon-Roy is President/CEO of TECHCET CA LLC, an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries.

Sachi Brown is the Marketing Specialist of TECHCET CA LLC, in charge of marketing communications.

Friday, September 15, 2023

Tokyo Electron Integrated Report/Annual Report 2023 available for download

Tokyo Electron (TEL) issues an integrated report for the purpose of reporting our medium- to long-term profit expansion and continuous corporate value enhancement to their stakeholders.

As they celebrate their 60th anniversary this year, the 2023 report looks back at the history of our business expansion. It also details our efforts to continuously create value by the value chain of their business activities anchored around material issues, in conjunction with their sustainability initiatives.

For anyone involved in the semiconductor industry or those eager to gain fresh perspectives in this dynamic field, this report is a must-read. It not only showcases TEL's history and strategies but also sheds light on industry trends, sustainability practices, and the exciting developments shaping the future of semiconductor technology. Dive into this comprehensive report and unlock valuable knowledge about TEL's journey and the semiconductor industry at large.


TEL also have great training material and a Nanotech Museum:





Wednesday, September 13, 2023

Global Fab Equipment Spending to Rebound in 2024 After 2023 Slowdown, Predicts SEMI Report

Global fab equipment spending is anticipated to decline by 15% in 2023, dropping to $84 billion from the record high of $99.5 billion in 2022. However, a recovery of 15% to $97 billion is expected in 2024, driven by the end of a semiconductor inventory correction in 2023 and increased demand in high-performance computing (HPC) and memory segments. The foundry segment will lead the industry's expansion in 2023 with $49 billion in investments, while memory spending is set to make a strong comeback in 2024 with a 65% increase to $27 billion. 



Taiwan will remain the top region for fab equipment spending in 2024, with $23 billion, followed by Korea with $22 billion, and China in third place at $20 billion. The Americas and Europe/Mideast regions are also expected to see increased investments, while capacity growth in the global semiconductor industry is forecasted to continue, rising by 6% in 2024.

Monday, September 11, 2023

Exploring SMIC's 7nm Semiconductor Advancements: Technology, Dimensions, and Future Prospects

The recent introduction of Huawei's Mate 60 Pro smartphone, featuring a 7 nm chip from Semiconductor Manufacturing International Corp. (SMIC), has raised questions about the authenticity of SMIC's technological strides and their implications. This summary dives into the heart of SMIC's 7 nm technology, shedding light on its dimensions, technological intricacies, challenges, and the outlook for the future.

However, it has been known for some time that SMIC has been developing at putting out 7 nm chips, and an early 2022 assessment published at Seeking Alpha can be found here: Applied Materials: SMIC Move To 7nm Node Capability Another Headwind (NASDAQ:AMAT) | Seeking Alpha

The SMIC 7 nm Technology Debate

Central to the debate surrounding SMIC's technology is the classification of whether it genuinely qualifies as 7 nm. Parameters such as Fin Pitch (FP), Contacted Poly Pitch (CPP), and Metal 2 Pitch (M2P) are scrutinized. While SMIC's FP pitches are larger than TSMC's 10 nm, its CPP and M2P dimensions match TSMC's 10 nm, creating a complex classification.

SMIC appears to have a serviceable first generation 7nm process now with a reasonable prospect to get to second generation 7nm/6nm in the near futures. 5nm and 3nm while theoretically possible would be highly constrained and expensive process versions if pursued due to the lack of EUV. - Scotten Jones, SemiWiki (LINK)

Design Technology Co-Optimization (DTCO) Features

SMIC's 7 nm process introduces Design Technology Co-Optimization (DTCO) features uncommon in traditional 10 nm processes. Notably, SMIC's track height is smaller than TSMC and Samsung's 10 nm processes, approaching 7 nm-class characteristics. These features add to the nuanced evaluation of SMIC's technological position.

Cell Density and Cut Masks

SMIC's high-density logic cell boasts an impressive 89 million transistors per millimeter squared, akin to Samsung and TSMC's first-generation 7 nm processes. This suggests that SMIC's technology aligns with the 7 nm category, though the debate on its dimensions continues. Notably, SMIC's process introduces larger Contacted Poly Pitch (CPP) dimensions, hinting at potential performance challenges that necessitated this adjustment.

The EUV Challenge and Future Prospects and Alternative Technologies

SMIC's journey toward further technological advancements faces significant hurdles due to the unavailability of extreme ultraviolet lithography (EUV) systems in China. EUV technology plays a pivotal role in pushing semiconductor boundaries. However, ongoing US restrictions on EUV system shipments to China constrain SMIC's options for achieving cutting-edge technology.

Self aligned multi patterning (SAMP) in Advanced Logic Semiconductor Manufacturing

In advanced logic semiconductor manufacturing, addressing the challenges posed by sub-5 nm nodes and dense metal layers is essential. SMIC can consider alternative technologies like Atomic Layer Deposition (ALD) and Directed Self-Assembly (DSA) to overcome these hurdles.

ALD stands out for its precision in depositing thin films, allowing for the creation of ultra-thin etch masks, spacers, and precise control over critical dimensions. On the other hand, DSA leverages materials' self-assembly properties to form predefined patterns, effectively dividing pitch sizes and simplifying lithography masks.

Incorporating ALD and DSA into semiconductor manufacturing processes has the potential to enhance the capabilities of immersion lithography, enabling smaller nodes without the need for EUV lithography. While these technologies require further research and development, they offer promise in helping semiconductor manufacturers advance their technology and remain competitive, particularly in the absence of EUV lithography equipment.

Together with self-aligned multi-patterning (SAMP) techniques like self-aligned double patterning (SADP), self-aligned quadruple patterning (SAQP), and self-aligned litho-etch-litho-etch (SALELE), these alternative approaches provide SMIC with a range of options to navigate the complexities of advanced semiconductor manufacturing, ultimately shaping the future of Chinese advanced chip fabrication.

The Future of Nanoimprint Lithography: High-Volume Production Possibilities

Nanoimprint lithography (NIL) offers potential for high-volume production with sub-10 nm resolution, revolutionizing semiconductor manufacturing. TEL and Canon have showcased NIL's sub-10 nm capabilities, making it suitable for multiple memory generations using a single mask. Challenges like edge placement errors (EPE) are addressed through precision techniques like Quasi-Atomic Layer Etch (Quasi-ALE). To achieve aggressive scaling targets, overlay accuracy and critical dimension uniformity (CDU) management are vital. NIL's simplicity and cost-effectiveness make it a promising contender, with ongoing development poised to refine its integration into semiconductor fabrication.

Future Outlook for SMIC and China

SMIC's path forward may involve alternative fabrication technologies such as ALD, DSA, and NIL. that offers the potential for high-volume production with sub-10 nm resolution.

By mastering and integrating these advanced technologies into semiconductor manufacturing could potentially expand immersion lithography's capabilities, accommodating smaller nodes without depending on EUV lithography. While further research and development are essential, these technologies offer potential pathways for SMIC to advance its fabrication processes and sustain competitiveness, particularly in the absence of EUV lithography equipment. These strategies, alongside self-aligned multi-patterning techniques, stand to influence the future of advanced chip fabrication in China beyond 7 nm. 

The answer is as always - it depends. For how long will China have access to also Immersion Lithography? Will top tier OEMs be allowed to continue to export ALD and other process technology needed to China? What will be the cost using higher complexity and additional masks needed for SAQP (cut masks)? Is Huawei's limited chip demand, as compared to Apple & Co, enough to pay for the R&D needed? Will Chinese state support cover the development and fab expansion cost? 

Sources:

193i Lithography Takes Center Stage...Again (semiengineering.com)

Does SMIC have 7nm and if so, what does it mean - SemiWiki

Look Inside Huawei Mate 60 Pro Phone Powered by Made-in-China Chip - Bloomberg

BALD Engineering - Born in Finland, Born to ALD: The Future of Nanoimprint Lithography: Exploring Possibilities and Challenges for High-Volume Production

BALD Engineering - Born in Finland, Born to ALD: Comparison confirms that SMIC reaches 7nm without access to western equipment & technologies

Applied Materials: SMIC Move To 7nm Node Capability Another Headwind (NASDAQ:AMAT) | Seeking Alpha

Thursday, August 31, 2023

Metal Plating Chemicals Revenues to Boost into 2024

Growth driven by developments in leading-edge logic and memory

San Diego, CA, August 31, 2023: TECHCET—the electronic materials advisory firm providing business and technology information— reports that revenues for the Semiconductor Metal Plating Chemicals market will rise to USD $1,047M in 2024, a 5.6% increase from the forecasted USD $992M for 2023. The largest revenues for 2024 are forecasted for copper plating chemicals used for device-level interconnect and advanced packaging wiring, as explained in TECHCET’s newly released Metal Chemicals Critical Materials Report. The 5-year CAGR’s for 2022-2027 are expected to remain on an upward track, with 3.5% growth for advanced packaging and 3% for copper device interconnects.
“Increased usage of advanced packaging, redistribution layers, and copper pillar structures are all factors contributing to the growth of the metal chemicals market segment,” states Dr. Karey Holland, Chief Strategist at TECHCET.


A potential risk factor for the metal chemicals market is increased lead times and price increases for electronic chemicals. Fabs and plating chemical suppliers are not reporting any difficulty obtaining metals for semiconductor plating in 2023, however, shortages may occur in the future. Geopolitical tensions with China, for instance, may hinder the availability of tin that is mined there. Similarly, nickel imported from Russia and Ukraine may face supply constraints.

To read the full article, go to: https://lnkd.in/gqdUHRuM

For more details on the Semiconductor Metal Plating Chemicals market & supply chains, go to: https://lnkd.in/gFQNSEpa

To discuss more on the supply-chains for metal chemicals and other semiconductor materials, come talk to TECHCET at the CMC Seminar in Taichung, Taiwan on October 25th. For more information and to register, go to: https://lnkd.in/gZN2gjWT.