Preparation of the Mo Film: Initially, thin Mo films are deposited on a silicon/silicon dioxide (Si/SiO2) substrate using magnetron co-sputtering. During this step, controlled amounts of Nb (for p-type doping) or Re (for n-type doping) are co-sputtered with the Mo film, resulting in a doped Mo layer.Tellurization Process in the CVD Reactor: The Mo film, now doped with Nb or Re, is placed in a CVD furnace along with solid tellurium (Te) lumps. Under a controlled flow of carrier gases (argon and hydrogen), the CVD chamber is heated to high temperatures (around 650°C). The Te vapor reacts with the Mo, leading to the formation of 1T'-MoTe2.Phase Transformation to 2H-MoTe2: At the elevated temperatures within the CVD system, the 1T'-MoTe2 structure undergoes a phase transformation into the more stable 2H phase, producing the final doped 2H-MoTe2 film. This phase is crucial because 2H-MoTe2 has semiconducting properties suitable for integrated circuits.Doping Incorporation: During the CVD tellurization, Nb and Re atoms from the initial Mo film become substitutionally incorporated into the MoTe2 lattice. This incorporation determines the semiconductor type (p-type or n-type) and carrier concentration of the resulting 2H-MoTe2 film.Large-Scale Uniformity: By controlling the initial dopant concentration and maintaining consistent conditions in the CVD process, the researchers achieved uniform doping across large-scale wafers, crucial for creating reliable semiconductor devices.
Friday, November 8, 2024
New Method for Precision Doping in 2D Semiconductors Enables Next-Gen CMOS Integration
Monday, October 28, 2024
Lam Research Sees Growth Opportunities in Etch and Deposition Technologies Despite NAND Downturn
In its Q1 2025 earnings call, Lam Research reported strong performance and emphasized growth opportunities, particularly in etch and deposition technology. CEO Tim Archer highlighted optimism for NAND spending recovery in 2025, supported by technology upgrades and a transition to molybdenum through Lam’s advanced Atomic Layer Deposition (ALD). Lam is positioned to capture opportunities in advanced semiconductor nodes like gate-all-around and EUV patterning, while its expanded offerings in high-bandwidth memory (HBM) and advanced packaging align with growing demand in AI and high-performance computing. Despite regulatory challenges in China, Lam continues to serve this market by focusing on upgrades and services. Looking ahead, Lam expects to outpace industry growth, driven by its strategic positioning across advanced technologies.
In its Q1 2025 earnings call, Lam Research Corporation (NASDAQ: LRCX) reported solid performance and reiterated optimism for growth in 2025, largely driven by demand for etch and deposition technologies. CEO Tim Archer emphasized Lam’s strategic positioning in an industry experiencing technological shifts, despite a prolonged downturn in NAND spending.
Lam anticipates a recovery in NAND spending in 2025, largely driven by technology upgrades rather than new capacity expansions. Key factors include a transition from tungsten to molybdenum in NAND structures, which improves performance by reducing resistivity. Lam is well-positioned in this area due to its extensive installed base and production wins, projecting an advantageous position as these upgrades scale into 2025.
NAND Technology Upgrades Set to Drive Etch and Deposition Demand in 2025
While the NAND segment has been in a prolonged downturn, Lam anticipates a recovery in 2025 as manufacturers upgrade to advanced nodes. The push toward 3D NAND layers exceeding 200 is essential to meet the growing demand for high-speed, high-capacity storage in data centers and client devices. Currently, about two-thirds of NAND capacity remains at older technology nodes, highlighting significant room for technology upgrades. Lam’s extensive installed base of NAND equipment positions it well to benefit as customers look to improve efficiency and performance.
Furthermore, Archer highlighted a shift from tungsten to molybdenum as a key materials change in NAND, addressing word line resistance challenges. This transition is particularly favorable for Lam, as it has already secured production wins in molybdenum deposition, which will scale up throughout 2025. These advancements are expected to enhance Lam's leadership position in NAND technology transitions.
Lam's product offerings mentioned in the reporting, along with their primary applications and strategic impacts.
Advanced Logic and Foundry Nodes: Key Growth Segments for Lam
Lam is also poised to benefit from shifts in advanced logic and foundry nodes, which are increasingly adopting gate-all-around architectures, backside power distribution, and advanced EUV patterning. These cutting-edge technologies require more intensive use of etch and deposition processes, aligning with Lam’s expertise and product offerings.
Archer noted the company's recent wins in selective etch tools and other innovations that support these advanced nodes, positioning Lam favorably as customers transition to architectures with greater power and performance needs. This expanding demand from foundry and logic customers offers a substantial growth opportunity for Lam’s advanced etch and deposition technologies.
Advanced Packaging Driven by AI Fuels Revenue Growth
The AI and high-performance computing boom has intensified demand for advanced packaging, particularly for high-bandwidth memory (HBM). Lam’s copper plating technology, SABRE 3D, has experienced substantial adoption, doubling its market share this year. This growth has been fueled by the rising complexity of 2.5D and 3D packages, which require high-performance interconnections to support AI-driven systems.
Lam anticipates this trend will continue into 2025 as the industry moves toward more advanced and intricate packaging solutions. According to Archer, advanced packaging will play a critical role in the semiconductor ecosystem for the foreseeable future, and Lam’s early investment in this technology has positioned it for continued market share gains.
Supporting Installed Base and Productivity in Memory Markets
Lam’s Customer Support Business Group (CSBG) has also shown growth, focusing on productivity enhancements and tool reuse. With Lam’s extensive tool installations in both DRAM and NAND, customers are prioritizing upgrades over entirely new systems, especially as they look to improve cost efficiency during NAND’s down cycle. This focus on tool reuse has led to recent market share gains for Lam, as existing tools are upgraded for better value than new installations.
As DRAM and NAND customers intensify efforts to reduce costs, Lam’s service-oriented model and productivity solutions, including equipment intelligence services, have seen greater adoption. This trend underscores Lam's ability to support its customers' evolving needs in an era of increased etch and deposition intensity.
Lam Research Leverages ALD for Moly Transition in NAND, Driving Next-Gen Semiconductor Performance
Lam Research's perspective on ALD is optimistic, particularly as it becomes increasingly essential in NAND technology upgrades. The company highlighted the industry's ongoing shift from tungsten to molybdenum (moly) for improved resistivity in 3D NAND structures, a transition that Lam’s ALD technology is well-positioned to support. Lam has already secured production wins for ALD applications with molybdenum, expected to ramp up significantly in 2025. This capability extends beyond NAND, with potential applications in DRAM and advanced logic/foundry nodes, underscoring ALD’s growing importance in meeting next-generation semiconductor demands.
Lam Research Adapts to Regulatory Challenges as China Revenue Set to Decline in 2025
In its Q1 2025 earnings presentation, Lam Research highlighted key developments and expectations for the China market. China accounted for roughly 37% of Lam's revenue in the September quarter, but the company anticipates this share will decrease to around 30% by December and potentially decline further in 2025. This projected downturn reflects both anticipated shifts in demand and the impact of U.S. export restrictions on advanced semiconductor equipment sales to China. Lam acknowledged the challenges posed by ongoing and potential new U.S. export controls, which could limit its ability to sell to certain advanced technology segments in China. Nevertheless, Lam remains committed to supporting its domestic Chinese customers within the boundaries of regulatory compliance, expecting demand in restricted segments to normalize as global WFE (wafer fabrication equipment) spending adjusts.
Much of Lam's business in China now focuses on servicing domestic fabs with tools for trailing-edge and specialty node processes, areas that generally remain unaffected by export controls. Through its Reliant product line, Lam continues to support these nodes, emphasizing upgrades and maintenance services as primary offerings in a market constrained by new advanced technology sales. Despite potential reductions in advanced equipment sales, the company is confident that its service and support model will help stabilize revenue in the region. By prioritizing productivity solutions and customer support, Lam is adapting to a complex regulatory environment while anticipating that China’s share of its revenue will gradually normalize amid a broader decline in WFE spending in the country.
Strategic Positioning in 2025 and Beyond
In summary, Lam Research is set to capitalize on a growing demand for etch and deposition technology driven by the industry’s shift to advanced architectures. Archer concluded the call with optimism, stating that the company is well-positioned to capture market share as the semiconductor industry increasingly relies on complex, three-dimensional structures. With its advanced product offerings, Lam expects to outperform overall wafer fabrication equipment (WFE) growth in 2025, strengthening its leadership across multiple semiconductor sectors.
Sunday, August 11, 2024
JSR Corporation Completes Strategic Acquisition of Yamanaka Hutech Corporation to Bolster Semiconductor Materials Portfolio with CVD and ALD Precursors
On August 2, 2024, JSR Corporation announced the successful acquisition of Yamanaka Hutech Corporation, a renowned supplier of high-purity chemicals for the semiconductor industry. The acquisition, finalized on August 1, 2024, positions YHC as a wholly-owned subsidiary of JSR. This strategic move allows JSR to enhance its product offerings, particularly in semiconductor film-forming technologies, and aligns with its growth strategy aimed at strengthening its presence in the advanced semiconductor materials sector. JSR is committed to driving innovation, optimizing supply chains, and maintaining strong customer relationships as the semiconductor industry undergoes significant changes.
JSR Corporation's acquisition of Yamanaka Hutech Corporation (YHC) brings YHC's high-purity CVD and ALD precursors into JSR's portfolio, enhancing its capabilities in semiconductor materials. YHC, with over 60 years of expertise in advanced molecular design and synthesis technology, has a strong track record in supplying high-quality CVD/ALD precursors, particularly in competitive ALD material areas. This acquisition allows JSR to diversify beyond its traditional focus on photoresists and strengthens its position as a global leader in advanced semiconductor materials, poised to drive innovation in both miniaturization and device structure advancements.
Sources:
Saturday, April 13, 2024
Applied Materials Pioneer® CVD film for EUV Sculpta and DRAM Sym3 Etch applications
Tuesday, February 27, 2024
Applied Materials Unveils Cutting-Edge Patterning Technologies for Next-Gen Semiconductor Device Manufacturing
Applied Materials is leading the charge into the angstrom era of chipmaking, unveiling a suite of innovative solutions at the SPIE Advanced Lithography + Patterning conference. The company's focus is on overcoming the challenges posed by extreme ultraviolet (EUV) and high-NA EUV lithography, crucial for the production of chips at 2nm process nodes and below. Their approach integrates new materials engineering, metrology techniques, and pattern-shaping technology to enhance chip performance and yield.
To help overcome patterning challenges for leading-edge chips, Applied Materials offers a portfolio of technologies designed to complement the latest advances in lithography. The company’s newest innovations include the Producer® XP Pioneer® CVD patterning film, the Sym3® Y Magnum™ etch system, the Centura® Sculpta® pattern-shaping system and Aselta contour technology for design-based metrology.
Central to Applied Materials' advancements is the Sculpta® pattern-shaping technology, first introduced at the previous year's conference. Sculpta has seen growing adoption among top logic chipmakers for its ability to refine EUV patterning, notably reducing double patterning steps and mitigating defects such as bridge defects. This technology not only lowers patterning costs but also improves chip yields, showcasing its increasing importance in the semiconductor manufacturing landscape.
In response to the issue of EUV line edge roughness, Applied Materials has launched the Sym3® Y Magnum™ etch system. This innovative system employs a combination of deposition and etch processes within a single chamber to smooth out rough edges before etching, thereby enhancing yield and chip performance.
Additionally, the company introduced the Producer® XP Pioneer® CVD patterning film, designed for high-fidelity pattern transfer with enhanced resistance to etch chemistries. This film is especially significant for advanced process nodes, offering improved sidewall feature uniformity and co-optimization with both Sculpta and the Sym3 Y Magnum system for superior patterning capabilities.
To address the critical issue of feature alignment across chip layers, Applied Materials has acquired Aselta Nanographics, integrating its design-based metrology with Applied's leading eBeam systems. This integration enables a comprehensive metrology solution that significantly enhances feature placement accuracy, crucial for optimizing chip performance and yield.
Applied Materials' expansion of its patterning solutions portfolio underscores its commitment to advancing semiconductor technology. By addressing key challenges in EUV lithography and introducing groundbreaking technologies, the company is setting new standards for the industry, driving forward the capabilities of angstrom era chipmaking.
Monday, October 16, 2023
Kokusai Electric's Successful IPO Raises $724.4 Million, Japan's Largest in 5 Years
Tuesday, September 19, 2023
Aixtron’s G10-SiC CVD System Supports GlobiTech’s SiC Epitaxy Expansion
- GlobiTech Inc produces silicon carbide (SiC) and silicon epitaxial wafers, primarily focusing on serving the power and electric vehicle (EV) market segments.
- GlobiTech Inc's production facilities are located in Sherman, Texas, USA.
Aixtron SE is aiding Texas-based silicon-epitaxy foundry GlobiTech Inc's entry into the silicon carbide (SiC) epitaxy market. The G10-SiC chemical vapor deposition (CVD) system from Aixtron has enabled GlobiTech to rapidly scale SiC epitaxy production in response to growing demand for power epiwafers. Featuring dual wafer sizes (9x150mm and 6x200mm), it offers high throughput per fab space. GlobiTech's expansion confirms the trend of SiC replacing silicon in various applications. Both firms have enjoyed a fruitful partnership, with Aixtron's tools maximizing wafer output. The G10-SiC is projected to be Aixtron's top-selling product in 2023.
Source: AIXTRON Pressemeldungen :: AIXTRON
Recent Blog posts on SiC:
BALD Engineering - Born in Finland, Born to ALD: Samco launches new ICP Tornado Plasma ALD system
Background:
- Silicon carbide: from gold rush to commodity?1, which provides an overview of the global SiC market and its predictions for the future of the technology. It discusses the growth rate, size, and drivers of the SiC device market, as well as the competitive landscape and supply chain of the SiC industry. It also analyzes the challenges and opportunities for SiC technology in different applications, such as automotive, industrial, energy, and telecommunications. It also compares and evaluates SiC with other wide bandgap materials, such as gallium nitride (GaN) and diamond.
- The 2023 global fab landscape: opportunities and obstacles2, which considers the state of the global semiconductor fab market in a post-COVID world. It discusses the emerging business models that could enable the semiconductor industry to migrate to leading-edge and mature technology with optimal manufacturing capacity. It also examines the impact of COVID-19, trade wars, and geopolitical tensions on the semiconductor supply chain and fab investments. It also explores the trends and innovations in semiconductor materials, devices, and modules, such as silicon carbide (SiC), gallium nitride (GaN), and quantum computing.
Wednesday, April 19, 2023
Call for Papers on ALD & ALE Applications, at ECS Fall Meeting / Gothenburg Oct. 2023 ►►DEADLINE EXPIRES APRIL 21◄◄
The Electrochemical Society (ECS) conference is an international event running every spring and fall, and gathering 2000-4000 participants and 30-40 exhibitors both from academia and industry.
The conference has a strong focus on emerging technology and applications in both electrochemistry and solid-state science & technology.
This fall the event will be held as 244th ECS Meeting on Oct. 8-12, 2023 in Gothenburg (Sweden).
The full program as well as information on travel assistance for students can be found on https://www.electrochem.org/
The organizers of symposium G01 on “Atomic Layer Deposition & Etching Applications, 19” encourage you to submit your abstracts on the following (and closely related) topics:
1. Semiconductor CMOS applications: development and integration of ALD high-k oxides and metal electrodes with conventional and high-mobility channel materials;
2. Volatile and non-volatile memory applications: extendibility, Flash, MIM, MIS, RF capacitors, etc.;
3. Interconnects and contacts: integration of ALD films with Cu and low-k materials;
4. Fundamentals of ALD processing: reaction mechanisms, in-situ measurement, modeling, theory;
5. New precursors and delivery systems;
6. Optical and photonic applications;
7. Coating of nanoporous materials by ALD;
8. MLD and hybrid ALD/MLD;
9. ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;
10. ALD for energy storage applications;
11. Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates, including roll-to-roll deposition;
12. Area-selective ALD;
13. Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.
Abstract submission
Meeting abstracts should be submitted not later than the deadline of April 21, 2023 via the ECS website: Abstract submission instruction
List of invited speakers
· Johan Swerts, (Imec, Belgium) KEYNOTE: ALD challenges and opportunities in the light of future trends in electronics
· Stephan Wege (Plasway Technology, Germany), Reactor design for combined ALD & ALE
· Masanobu Honda (TEL, Japan), Novel surface reactions in low-temperature plasma etching
· Barbara Hughes, (Forge Nano, USA), Dual Coatings, Triple the Benefit; Atomic Armor for Better Battery Performance
· Juhani Taskinen, (Applied Materials-Picosun, Finland), ALD for biomedicine
· Alex Kozen (Univ. of Maryland, USA), ALD for improved Lithium Ion Batteries
· Malachi Noked (Bar-Ilan Univ., Israel), ALD/MLD for batteries
· Yong Qin (Chinese Academy of Sciences), ALD for catalysis
· Jan Macák, (Univ. of Pardubice, Czechia), ALD on nanotubular materials and applications
· Bora Karasulu, Univ. of Warwick, UK), Atomistic Insights into Continuous and Area-Selective ALD Processes: First-principles Simulations of the Underpinning Surface Chemistry
· Ageeth Bol (Univ. Michigan, USA), ALD on 2D materials
· Pieter-Jan Wyndaele (KU Leuven-imec, Belgium), Enabling high-quality dielectric passivation on Monolayer WS2 using a sacrificial Graphene Oxide template
· Elton Graugnard (Boise State Univ., USA), Atomic Layer Processing of MoS2
· Han-Bo-Ram Lee (Incheon National Univ., Korea), Area-Selective Deposition using Homometallic Precursor Inhibitors
· Ralf Tonner (Univ. Leipzig, Germany), Ab initio approaches to area-selective deposition
· Nick Chittock (TU Eindhoven, Netherlands), Utilizing plasmas for isotropic Atomic Layer Etching
· Heeyeop Chae (Sungkyunkwan Univ., Korea), Plasma-enhanced Atomic Layer Etching for Metals and Dielectric Materials
· Charles Winter (Wayne State Univ., USA), New Precursors and Processes for the Thermal ALD of Metal Thin Films
· Anjana Devi, Ruhr Univ. Bochum, Germany), Novel precursors dedicated for Atomic Layer Processing
Visa and travel
For more information, see: www.electrochem.org/244/visa-
In addition, Mrs. Francesca Spagnuolo at the ECS (Francesca.Spagnuolo@
We are looking forward to meeting you in Gothenburg !
Sunday, September 18, 2022
Samsung to focus on treatment of gas used in chip production to achieve net-zero emissions
"Treatment of gas used to manufacture semiconductor chips is our biggest focus in our spending (to achieve net-zero emissions)," Song Doo-guen, executive vice president and head of the Environment & Safety Center at Samsung Electronics, told reporters at a briefing in Seoul.
- Samsung has pledged a 7 trillion won ($5 billion) investment to achieve its climate ambitions, and announced that it had recently joined RE100, a coalition comprising 380 global enterprises committed to becoming 100 percent renewable.
- Alongside the plan to cut direct carbon emissions, Samsung has also laid out a raft of plans to reduce indirect emissions, mainly by pursuing ultralow-power chip products.
- Other eco-conscious plans it has drawn up include capping the maximum use of freshwater to 300,000 tons a day by 2030 and eradicating gaseous and liquid pollutants by 2040 with treatment technology.
Thursday, September 1, 2022
Supply Tightening Expected for Specialty Electronic Gases
Demand to outpace supply for NF3 and WF6 unless alternatives come into play
Thursday, June 16, 2022
Electronic Gases Markets – To Approach a US$9 Billion Market in 2022

Saturday, March 5, 2022
Electronic Gas Markets – Strong Growth, Pressing Supply-Chain Issues

Friday, October 29, 2021
Green CVD: How Sustainable is Thin Film Deposition?
Friday, July 23, 2021
Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition
Summary of a suggested Green CVD philosophy
Journal of Vacuum Science & Technology A 39, 051001, (2021); https://doi.org/10.1116/6.0001125

Tuesday, June 1, 2021
South Korean equipment makers recorded mixed results in the first quarter of 2021
- Fab equipment vendors posted high growth, while display equipment firms underperformed.
- Fab equipment makers benefited from aggressive spending by semiconductor companies.
- CVD/ALD equipment companies showed good growth, see below (Jusung, Wonik IPD, Eugene Technologies