Wednesday, August 31, 2022

Webinar Atonarp’s Aston in-situ metrology solution for Spatial ALD

 

Register
Can’t attend the live webcast?  Register and we will send you a link to watch the recording at your convenience.

Overview:
 
Spatial ALD is emerging as a critical technology for the deposition of thin films for advanced memory and logic selective processing found in gate-all-around FETs, high aspect ratio contacts, DRAM capacitors, advanced NVM technology, and even self-aligned double patterning (SADP) lithography.  It has the promise of high throughput, highly conformal thin films using low temperature and low or no vacuum processing chambers.  However spatial ALD has challenges, gas mixing, platform rotation speed optimization, optimized gas purge flow, the variable concentration of reactant gases and safety considerations are some of the issues process engineers are working to optimize.  Atonarp’s Aston in-situ metrology solution will be reviewed and its key differentiations, being used by several spatial ALD OEMs to address these challenges, will be discussed.

What you’ll learn:
  • Spatial ALD advantages and challenges
     
  • Why speed with sensitivity and robustness matter in Spatial ALD metrology solutions
     
  • Aston Impact and Plasma metrology solutions and differentiation that is leading them to be used as key in-situ process control metrology in spatial ALD applications

Equipment Suppliers Brace For GaN Market Explosion - including Atomic Layer Etch (ALE)

According to a recent article in Semiengineering Power Electronics and RF will drive volume for equipment suppliers, with many new uses underway. According to industry experts interviewed, also ALD and ALE will benefit

“Through an ongoing development program, Lam Research has been establishing a suite of enabling process solutions for GaN semiconductor device fabrication,” said David Haynes, vice president of specialty technologies in Lam Research’s Customer Support Business Group. 

“Key to these capabilities is an atomic layer etch-based process that can provide ultra-low damage, atomic scale precision etching of GaN and related materials. The new, optimized processes can reduce the post etch sheet resistance of the as-etched GaN/AlGaN [aluminum gallium nitride] whilst the surface roughness of the etched material remains comparable to that of the incoming epitaxial layers. Such high-precision, low-damage etch capabilities are critical to the formation of p-GaN or recessed gate high electron mobility transistor (HEMT) architectures used to fabricate normally off GaN devices for power electronics applications.”



Lam’s Kiyo45 reactive ion etch (RIE) tool offering ALE processes of GaN and SiC materials Source: Lam Research

According to the article, Lam has developed proprietary solutions to speed up the ALE process and its ALE chambers can be used in both RF and power GaN fabrication.

Lam sees GaN on SiC RF devices as well established and will remain very important for high power applications in telecommunications infrastructure and defense. Fast development of GaN-on-Si epitaxy will move towards high volume applications for consumer products according to Haynes and explained further: “These will evolve alongside GaN-on-Si power devices that share many of the same process challenges. Today, most GaN-on-SiC RF devices are still made on 150mm or even 100mm wafers. The opportunity for GaN-on-Si devices to be readily processed on 200mm and in the future 300mm wafers, as well as the potential to use complementary metal-oxide semiconductor (CMOS) foundry capacity and even develop integrated solutions with CMOS, will all be key drivers for this transition.”

The Lam Research was early in high volume manufacturing with ALE (2016 BALD Engineering - Born in Finland, Born to ALD: Lam Research - New Atomic Layer Etching Capability Enables Continued Device Scaling) ALE chambers are now also part of their Kyo45 reactive ion etch product platform and offers (lamreserch.com):
  • Superior uniformity and repeatability enabled by a symmetrical chamber design, industry-leading electrostatic chuck technology, and independent process tuning features
  • High productivity with low defectivity on multi-film stacks enabled by in-situ etch capability, continuous plasma, and advanced waferless auto-clean technology
  • Improved critical dimension uniformity using proprietary Hydra® technology that corrects for incoming patterning variability
  • Corvus® plasma sheath tuning for maximum yield of wafer-edge dies
  • Atomic-scale variability control with production-worthy throughput enabled by plasma-enhanced ALE capability
  • Upgradable products for low cost of ownership over several device generations
Sources: 

- Equipment Suppliers Brace For GaN Market Explosion

- Lam Research www.lamresearch.com

Tuesday, August 30, 2022

Comparison confirms that SMIC reaches 7nm without access to western equipment & technologies

Similarities with TSMC 7nm have been found

After TechInsights revealed their initial findings on the SMIC MinerVa Bitcoin mining processor, their team did further analysis and comparison against TSMC 7nm. This new analysis confirms that despite current sanctions restricting access to the most advanced equipment technologies, Chinese Semiconductor Manufacturing International Corporation (SMIC) has used 7nm technology to manufacture the MinerVa Bitcoin Miner application-specific integrated circuit (ASIC).

The TechInsights analysis also uncovered many similarities between the SMIC 7nm and the TSMC 7nm, which are available in our comparison brief.




According to the SeekingAlpha assessment earlier this year (Applied Materials: SMIC Move To 7nm Node Capability Another Headwind (NASDAQ:AMAT) | Seeking Alpha) SMIC is using a large amount of multiple pattering mask layers like in the first TSMC and Samsung 7 nm nodes (N7). 

"At 7nm, normally 15 DUV systems and 5 EUV systems are demanded, depending on chip type and company. However, since SMIC is not permitted to use EUV, then they will be substituted by DUV, and 20 DUV systems will be used.

In both cases, multiple patterning is done to delineate that pattern, whether it is 28nm or 7nm. This multiple patterning process is more or less a trick to reach even the 28nm dimensions. The multiple patterning is typically a combination of deposition, etch, and lithography steps.

If we look at Chart 3 below, using immersion DUV (ArF-1) at the 20nm node there are 13 mask layers, each of which uses multiple dep-etch steps. If we move across the top of the chart, at 10nm there are 18 mask layers, an increase of 50% in the use of deposition-etch steps.

Multiple patterning at the 7nm node, as shown in the bottom left of the chart, requires 27 mask layers. However, by switching to EUV (bottom right) at 7nm, only 14 mask layers are required, similar to the 20nm node with DUV.

The terminology is as follows in switching from DUV to EUV:Double litho, double etch (LELE) process will be eliminated

While ArF-I would continue to be used for the self-aligned double patterning (SADP) and
Self-aligned quadruple patterning (SAQP) processes."
 

Table from SeekingAlpha as cited above

From an ALD point of view, the FEOL and metallization up to M2 use 19 in the case of Immersion Lithography (N7) vs 10 in the case of EUV (N7+) ALD spacer-defined multiple patterning masks (SADP or SAQP). However, the bigger difference is in etch for LELE etc., where EUV N7+ uses only 2 such masks.


Monday, August 29, 2022

Materion to develop advanced chemicals for EV batteries, semiconductor chips at new facility

Materion Corporation (NYSE: MTRN), a world leader in high-performing advanced materials, announced today that it has established a new facility in Milwaukee, Wisconsin to accelerate the growth of advanced chemical solutions for the semiconductor and electric vehicle (EV) battery markets.

The new 150,000 square foot facility expands the company’s capacity to produce Atomic Layer Deposition (ALD) materials for the most sophisticated semiconductor chips and provide advanced chemicals for the development of next-generation battery technology for EV’s. Production capabilities are expected to ramp up during the first half of next year.

“This expansion is in direct response to the confidence that our customers have in Materion as a critical partner in the development of game-changing technologies to advance growth aligned with these exciting megatrends,” President and CEO Jugal Vijayvargiya said. “We are proud of the role that we will play in the development of the most technically advanced semiconductor chips for a wide variety of applications as well as next-generation batteries that will support the broader adoption of electric vehicles globally.”

Building on Materion’s existing portfolio of electronic materials and premium thin film target solutions, the expansion of its ALD capabilities will significantly enhance the company’s position as a leading global supplier to the high-growth semiconductor industry. The move follows the company’s successful 2021 acquisition of the HCS-Electronic Materials business, which added tantalum- and niobium- based solutions to Materion’s portfolio of precious and non-precious metal targets, extending the company’s global reach and expanding its position with leading semiconductor chip manufacturers.

On the EV front, Materion is working with a number of leading battery manufacturers on the development of inorganic chemicals to be used in their next-generation battery solutions focused on enabling longer range, faster-charging and enhanced safety. Following a multi-year R&D partnership, one specific customer is funding $6 million to establish a prototype line in the new Milwaukee facility. Materion’s relationships with next-generation battery customers are expected to further strengthen the company’s already strong position as a critical supplier to the automotive market, as today the company develops advanced materials for use in a wide variety of applications that include battery and electric connectors and lidar optics.

About Materion

Materion Corporation is headquartered in Mayfield Heights, Ohio. Materion, through its wholly owned subsidiaries, supplies highly engineered advanced enabling materials to global markets. Products include precious and non-precious specialty metals, inorganic chemicals and powders, specialty coatings, specialty engineered beryllium alloys, beryllium and beryllium composites, and engineered clad and plated metal systems.

Source: Materion Corporation - Materion Establishes New Facility to Accelerate Growth in the Semiconductor and Electric Vehicle Markets




Sunday, August 14, 2022

ALD IGZO application for Monolithic 3D Integration

Improvement of device performance and decrease of power consumption by scaling down in the semiconductor industry have almost reached the physical limit. Additionally, the possibility of memory’s capacitor collapsing has been increasing due to capacitors becoming narrower and higher so it can lead to reduced device reliability.

To escape the limit of scaling down, Monolithic 3D (M3D) technology which stacks layer by layer third dimension integration, would be available instead of planar scaling. Because it is scalable, performable, and economic, lots of companies, institutes, and universities are actively developing for its commercialization.

There is a limitation of upper layer process temperature because dopant diffusion, property degradation, and dimensional change in the lower device can happen during M3D integration. Therefore, to prevent the lower device from deteriorating, the process temperature for upper channel materials must be limited to not more than 450℃. IGZO has great properties and can be processed at low temperature so applying IZGO to M3D devices has been studied and developed, such as IGZO OSFET stacking on CMOS devices or RRAMs.



< Diagram of Monolithic 3D IC & Lucida™ S300 ALD >

Recently, IGZO is being applied to capacitor-less DRAM (2T0C) because IGZO TFT has very low off current (Ioff) by long retention time.

ALD IGZO enables conformal deposition and excellent thickness controllability. Also, composition control by cycle number ratio is accurate, so the bilayer structure can be easily deposited. It is expected to be applied for superb M3D devices.

NCD has been developing IGZO batch IGZO-ALD system with its creative technology, and it shows excellent film properties and high throughput with large area processing. LucidaTM S Series is available for developing M3D integration of Logic, Memory and electro-optics, etc.

LucidaTM S Series for semiconductor is a high throughput ALD system with thermal or plasma process for 300 mm wafers and is able to deposit various oxides (HfO2, ZrO2) and metals (TiN, TaN, Ru) with excellent property and film uniformity.

Source: www.ncdtech.co.kr

Wednesday, August 3, 2022

Swagelok supports semiconductor manufacturers with new ALD valve

New Swagelok® ALD7 UHP Valve Enables Semiconductor Manufacturers to Improve Chip Yield
Advanced diaphragm valve provides maximum dosing precision, fast actuation, and consistent performance over tens of millions of production cycles.

Solon, Ohio (August 2, 2022) — Swagelok, a leading provider of fluid system products, assemblies, and related services, has announced the release of the Swagelok® ALD7 ultrahigh-purity (UHP) diaphragm valve—a product capable of delivering the consistency and long service life necessary for semiconductor fabricators to improve chip yields. Compared to Swagelok’s current top-of-the-line ALD6 valve, the ALD7 provides better flow consistency, flow capacity, and actuator speed. It also offers the performance at high temperatures necessary to allow chip fabricators to overcome limitations in current production processes and keep up with demand.




ALD7 valves can be integrated into either new tools or legacy equipment to provide improved flow capacity (up to 0.7 Cv) in the same 1.5-inch (38.1mm) footprint as existing valves, helping fabricators keep up with the strong global demand for chips that power advanced technology. ALD7 valves deliver precise dosing over tens of millions of ALD (atomic layer deposition) production cycles by actuating more quickly and consistently than even its ALD6 predecessor. The actuator’s open and close response time can be less than 5ms. The actuator is immersible to 150°C (302°F) and the valve body is rated to 200°C (392°F), allowing the valve to better support low-vapor-pressure precursors that require high temperature delivery. This gives fabricators the control needed to maximize throughput and yield.

ALD7 valves feature a compact design with an integrated thermal isolator, allowing system designers to maximize limited space near the reaction chamber of chip production tools. The valves are also highly resistant to corrosive gases used in ALD processes, with valve bodies comprised of proprietary ultrahigh-purity Swagelok 316L VIM-VAR stainless steel. As a result, semiconductor tool manufacturers can rely on ALD7 valves to provide consistent performance under variable process conditions, enhancing productivity for customers without increasing operating costs.

“Since developing the industry’s first fit for purpose ALD valve nearly 20 years ago, we have worked with semiconductor customers to better understand the levels of performance needed from our UHP valves as chip manufacturers continue shrinking process nodes and maximizing chip yields,” explains Ben Olechnowicz, product manager at Swagelok. “This has resulted in pursuing innovative thinking and developing valves to actuate faster, perform in more extreme conditions, and allow higher coefficients of flow in demanding atomic layer processes. We designed the ALD7 as a reliable round-the-clock production valve that checks all those boxes, giving our customers the consistency of performance necessary to stay ahead in an industry that is seemingly always changing and demanding more from manufacturers.”

The ALD7 is available today in a modular surface-mount configuration with a high-flow C-seal or in straight configurations with a tube butt weld, and Swagelok VCR® face seal fitting end connections. High-temperature electronic position sensors, optical position sensors, or solenoid pilot valve configurations are also available as add-on components.

For more information on Swagelok’s new ALD7 UHP diaphragm valve, visit swagelok.com or contact your local Swagelok sales and service center.