Tuesday, January 23, 2024
Significant Investment in ALD Technology for MicroLED & AR: Oxford Instruments' Pioneering Role
Monday, December 19, 2022
Foundations of atomic-level plasma processing in nanoelectronics (Open Access)
Foundations of atomic-level plasma processing in nanoelectronics
Monday, October 10, 2022
Samco launches new ICP Tornado Plasma ALD system
“We are also considering a cluster ALD system that can connect multiple reaction chambers for production” says Tsukasa Kawabe, President and COO of Samco. “The launch of the AD-800LP will greatly enhance our presence in the world ALD equipment market.” Tsukasa adds.
Wednesday, December 8, 2021
Meaglow Hollow Cathode Gas Plasma Source Paper Published by the Journal “Coatings”
Friday, November 19, 2021
How Did Plasmas Change ALD? - w/ Erwin Kessels (ALD Stories Ep 8)
Tuesday, October 5, 2021
The dynamics in CVD and PEALD of InN
Reference: On the dynamics in chemical vapor deposition of InN, Journal of Applied Physics 130, 135302 (2021); https://doi.org/10.1063/5.0061926
Wednesday, September 15, 2021
Problem solved - In0.5Ga0.5N layers by Atomic Layer Deposition!
P. Rouf, J. Palisaitis, B. Bakhit, N. J. O'Brien and H. Pedersen, J. Mater. Chem. C, 2021, DOI: 10.1039/D1TC02408F. (LINK)
Thursday, July 22, 2021
Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
Thursday, May 20, 2021
Plasway, Fraunhofer IKTS and BALD Engineering to present fast SiO2 PEALD at ALD2021
Realization and Dual Angle, In-situ
OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP
Chamber Employing de Laval Nozzle Ring Injector for Fast ALD
Abhishekkumar Thakur1,
Stephan Wege1, Sebastian Bürzele1, Elias Ricken1,
Jonas Sundqvist2, Mario Krug3
1Plasway Technologies GmbH, 2BALD
Engineering AB, 3Fraunhofer IKTS
ALD-based spacer-defined multiple
patterning schemes have been the key processes to continued chip scaling, and they
require PEALD or catalytic ALD for low temperature and conformal deposition of
spacers (typically SiO2) on photoresist features for the subsequent
etch-based pitch splitting. Other SiO2 applications in the logic and
the memory segments include gap fill, hard masks, mold oxides, low-k oxides, hermetic
encapsulation, gate dielectric, inter-poly dielectric ONO stack, sacrificial
oxide, optical films, and many more. ALD is limited by low throughput that can
be improved by raising the growth per cycle (GPC), using new ALD precursors,
performing batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or
omitting purge steps to attain the shortest possible ALD cycle. Today’s latest
and highly productive platforms facilitate very fast wafer transport in and out
of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing
are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces,
or spatial ALD chambers.
We have developed a Fast PEALD
technology [1], realizing individual precursor pulses saturating in the sub-100
ms range. The key feature of the technology is the highly uniform, radial
injection of the precursors into the process chamber through several de Laval
nozzles [2]. To in-situ study (concomitantly from the top and the side of the
wafer surface) individual ALD pulses in the 10-100 ms range, we use two fast
scanning (≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm)
Optical Emission Spectrometers with a resolution in the range of 0.7 nm.
We present the results for PEALD of
SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS
pulse (Fig. 1) and 50 ms of O2 plasma pulse (Fig. 2). All the processes
were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in
the temperature range of 20 °C to 120 °C and at ~1 Torr max. pulse pressure.
The in-situ, time-resolved OES study of O2 plasma pulse, indicating
saturation of O* (3p5Pà3s5S) emission peak
already at 50 ms pulse duration (Fig. 3, 4) and associated extinction of
reactive O* within 161 ms (Fig. 5), suggest room for yet faster process. The
mean GPC diminishes with the electrostatic chuck temp (Fig. 6).
We will present a more optimized
PEALD SiO2 process and stacking of Fast PEALD SiO2 on top
of Fast PEALD Al2O3 in the same chamber without breaking
the vacuum. The results will comprise XPS, TEM, film growth uniformity across
300 mm wafer, and residual stress investigation for the film stack.
References:
[1] AVS ALD2020, Abstract Number:
2415, Oral Presentation: AM-TuA14
[2] Patent US20200185198A1
Thursday, April 22, 2021
Highlights of Prof. Erwin Kessels’ Recent Webinar on “Plasma-Assisted Atomic Layer Deposition: From Basics to Applications” Organized by the American Vacuum Society (AVS)
Interesting Highlights and Key Takeaways
This is a wonderful summary of the highlights & takeaways of my AVS Webinar early February. Thank you Abishekkumar and Jonas! And people are invited to contact me if they want to know more. I was (and still am) to also come back to it at https://t.co/Kg8by78GCa
— Erwin Kessels (@ErwinKessels) April 22, 2021
Sunday, January 31, 2021
AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications
- Provide the basic concepts of plasma-based processing and thin-film preparation by (plasma-assisted) ALD
- Gain knowledge on the role of reactive and energetic species such as radicals, ions, and photons on the process and resulting film properties, including film conformality on 3D surface topologies
- Present an overview of plasma ALD reactors and discuss important design and processes parameters
- Discuss several plasma-assisted ALD processes for key material systems
- Give insight into existing and potential future applications of plasma-assisted ALD
- Understand the pros and cons of plasma-assisted ALD with respect to thermal ALD
Wednesday, February 12, 2020
50th Hollow Cathode Gas Plasma Source Ordered from Meaglow Ltd
“We believe Meaglow’s designs represent the first successful, wide spread, application of hollow cathode gas sources for thin film deposition,” says Dr. Scott Butcher, Chief Scientist of Meaglow Ltd. “Past designs struggled with uniform plasma distribution, a problem which Meaglow has overcome.” Dr Butcher’s early exposure to radiofrequency hollow cathode technology, back in the 1990s, was a good fit for solving some of the problems faced by our PE-ALD customers. Now our technology can be found in ten countries, and our customers have published over forty related journal papers, most of which are listed on our website.
About Meaglow Ltd.
Meaglow Ltd. Is a privately owned corporation in the industrial city of Thunder Bay, Canada. It’s range of next generation hollow cathode plasma sources is transforming the way plasma deposition is done. www.meaglow.com
Friday, November 15, 2019
Plasma ALD – A discussion of mechanisms – Commemorating the career of John Coburn
AtomicLimits: Plasma ALD – A discussion of mechanisms – Commemorating the career of John Coburn (LINK)
Monday, April 8, 2019
Meaglows New Hollow Cathode Plasma Source Designs Provide Better Quality Films
Tuesday, September 25, 2018
Caltech Convert to Hollow Cathode for Better Quality Nitride Layers
One such upgrade enabled low temperature GaN thin film transistors to be deposited at 200 degrees C (see the related Applied Physics Letter). While more recent work has allowed the demonstration of superior silicon nitride layers grown for device purposes (see our earlier article).
Saturday, September 1, 2018
PEALD - Alumina Systems & Plasway Technologies rewarded "Best Component Award" at CERAMITEC 2018
The award-winning component is a ceramic distribution ring for PEALD (Plasma Enhanced Atomic Layer Deposition) processes. The aluminum oxide ring has a diameter of 380 mm and consists of three horizontally divided rings, which are joined with glass solder. In addition, 3-D printed ceramic nozzles made of 99.99% Al2O3 have been soldered in at the same time for internal gas distribution. The ring can supply 2 gases (precursors) at the same time or sequentially into a 300 mm PEALD chamber.
The managing director of Alumina Systems GmbH, Dr. med. Holger Wampers (left) and development partner and customer Stephan Wege, managing director of Plasway Technologies GmbH.
To make it even more complicated, the gas connection for the precursors is made by a titanium tube, which is then soldered to two 3D-printed stainless steel tubes. Both metal solderings are attached via a downstream active soldering. All joining operations are cascaded in the temperature control, i. the process with the highest temperature must first be carried out, further processes must always be lower in temperature so that previous solder joints do not break up again. Besides from the metal parts, the components and joining processes were manufactured or carried out at Alumina Systems in Redwitz. "We have used all our know-how in this component," emphasized Dr. med. Wampers and added: "The horizontally split rings must be in relatively close tolerances for flatness and roundness after sintering, otherwise this can not be corrected with the subsequent grinding process for technological reasons. This is not so easy to achieve due to the shrinkage process of around 20%. Another challenge was the Laval nozzles, which could not have been produced without a 3-D printer. In addition, the entire ring is He leak-tight to 10-8 mbar · L / s "
For more details you can browse the current issue of Ceramic Applications 2/2018 : LINK
Thursday, August 9, 2018
Meaglow Introduces its Hollow Cathode Plasma Sources to Four New Countries
This is one of a number of firsts for Meaglow this year, we’ve also had our first sale to Israel (to Ben-Gurion University of the Negev), our first sale to Gerrmany (to Otto-von-Guericke University, Magdeburg) and our first sale to the United Kingdom (to the University of Liverpool). All of these sales have been for Meaglow’s popular Series 50 Plasma Source, which is used by many of our customers to upgrade from ICP to hollow cathode plasma operation.
Contact us at info@meaglow.com to learn more about the benefits of Meaglow’s plasma technology.
Friday, August 3, 2018
Entegris White Paper - Entegris Silicon Precursor Toolbox for Low-temperature Deposition
[Introduction] The drive toward making electronics faster, denser, and cheaper continues unabated. Shrinking device dimensions and changes in structure place additional demands on the materials used in all steps of semiconductor processing, including depositing silicon nitride (SixNy, or SiN) and silicon oxide (SiO2) films. With horizontal dimensions of transistors already near their lower limit, the path forward for Moore’s Law requires building upward. Increasing use of FinFET transistor structures and 3D NAND memory devices is driving the move from planar coatings on horizontal surfaces to conformal coatings on vertical and topologically complex surfaces. Aspect ratios are growing to the point where conformal coating performance is becoming a potential roadblock.
Silicon nitride and oxide films serve two primary types of functions in semiconductor device fabrication. Some are used for patterning, and others are used for electrical insulation. Within these broad categories, each application comes with a slightly different set of challenges. In this white paper, we explain the role of precursors in depositing highquality silicon-containing films under a wide range of challenging conditions.
Monday, July 30, 2018
Gelest Announced Diiodosilane Commercialization for PEALD Silicon Nitride
Diiodosilane is typically deposited by plasma-enhanced atomic layer deposition to create the silicon nitride thin film. Gelest sees a significant increase in demand for high purity diiodosilane driven by development and production of semiconductor chips requiring silicon nitride thin films at low thermal budgets.
Gelest is a manufacturer of specialty materials for the semiconductor industry.
Solid State Technology: Understanding ALD MLD and SAMs as they enter the fab – Arkles, Goff, & Kaloyeros
ECS Journal of Solid State Science and Tech: Silicon Nitride and Silicon Nitride-Rich Thin Film Technologies: Trends in Deposition Techniques and Related Applications – Kaloyeros, Jove, Goff, & Arkles
Friday, June 29, 2018
Tutorial Plasma assisted atomic level processing – PEALD & ALE at PSE2018
The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.
The tutorial will be organized by Adriana Creatore, TU Eindhoven, the Netherlands, in cooperation with Jonas Sundqvist, Fraunhofer IKTS, Dresden, Germany.
9:00 - 9:30 |
Introduction Adriana Creatore, Eindhoven University of Technology, the Netherlands Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany |
9:30 - 11:00 |
“Overview of thin film deposition and nanofabrication by atomic layer deposition” Adrie Mackus, Department of Applied Physics, Eindhoven University of Technology, the Netherlands |
11:00 - 11:30 | Break |
11:30 - 13:00 |
“Plasma atomic layer deposition: basics, mechanisms and applications” Harm Knoops, Oxford Instruments Plasma Technology, United Kingdom and Department of Applied Physics, Eindhoven University of Technology, the Netherlands |
13:00 - 14:00 | Lunch |
14:00 - 15:30 |
“Principles, basics and practical examples of Plasma Atomic Layer Etching” Sabbir Khan, Department of Physics, Lund University, Sweden |
15:30 - 16:00 | Break |
16:00 - 17:30 |
“Plasma-ALD and ALE processes in high volume manufacturing and emerging applications” Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany |
17:30 | End of the tutorial |