Showing posts with label ALD. Show all posts
Showing posts with label ALD. Show all posts

Thursday, May 20, 2021

Plasway, Fraunhofer IKTS and BALD Engineering to present fast SiO2 PEALD at ALD2021

Get ready for ALD/ALE 2021 and don´t miss new record-breaking fast ALD using 3D printed ceramic de Laval Rocket nozzle technology by Plasway, Fraunhofer IKTS and BALD Engineering.

♦ Realization and Dual Angle In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD

♦ we use two fast scanning, with ≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm, Optical Emission Spectrometers with a resolution in the range of 0.7 nm.

♦ We present the results for PEALD of SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS pulse and 50 ms of O2

Realization and Dual Angle, In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD

Abhishekkumar Thakur1, Stephan Wege1, Sebastian Bürzele1, Elias Ricken1, Jonas Sundqvist2, Mario Krug3

1Plasway Technologies GmbH, 2BALD Engineering AB, 3Fraunhofer IKTS

ALD-based spacer-defined multiple patterning schemes have been the key processes to continued chip scaling, and they require PEALD or catalytic ALD for low temperature and conformal deposition of spacers (typically SiO2) on photoresist features for the subsequent etch-based pitch splitting. Other SiO2 applications in the logic and the memory segments include gap fill, hard masks, mold oxides, low-k oxides, hermetic encapsulation, gate dielectric, inter-poly dielectric ONO stack, sacrificial oxide, optical films, and many more. ALD is limited by low throughput that can be improved by raising the growth per cycle (GPC), using new ALD precursors, performing batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or omitting purge steps to attain the shortest possible ALD cycle. Today’s latest and highly productive platforms facilitate very fast wafer transport in and out of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces, or spatial ALD chambers.

We have developed a Fast PEALD technology [1], realizing individual precursor pulses saturating in the sub-100 ms range. The key feature of the technology is the highly uniform, radial injection of the precursors into the process chamber through several de Laval nozzles [2]. To in-situ study (concomitantly from the top and the side of the wafer surface) individual ALD pulses in the 10-100 ms range, we use two fast scanning (≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm) Optical Emission Spectrometers with a resolution in the range of 0.7 nm.


Saturation curves for SiO2 Fast PEALD

We present the results for PEALD of SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS pulse (Fig. 1) and 50 ms of O2 plasma pulse (Fig. 2). All the processes were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in the temperature range of 20 °C to 120 °C and at ~1 Torr max. pulse pressure. The in-situ, time-resolved OES study of O2 plasma pulse, indicating saturation of  O* (3p5Pà3s5S) emission peak already at 50 ms pulse duration (Fig. 3, 4) and associated extinction of reactive O* within 161 ms (Fig. 5), suggest room for yet faster process. The mean GPC diminishes with the electrostatic chuck temp (Fig. 6).

We will present a more optimized PEALD SiO2 process and stacking of Fast PEALD SiO2 on top of Fast PEALD Al2O3 in the same chamber without breaking the vacuum. The results will comprise XPS, TEM, film growth uniformity across 300 mm wafer, and residual stress investigation for the film stack.    

References:

[1] AVS ALD2020, Abstract Number: 2415, Oral Presentation: AM-TuA14

[2] Patent US20200185198A1


Wednesday, November 13, 2019

Improvement of the quantum efficiency of micro LED by ALD passivation

Micro LED has been interested in the next generation display and been actively developing at many electronics manufactures and institutes for applications of AR/VR, wearable device and extra-large display as a core factor of the forth industry. Also it is evaluated to have superior properties to LED as well as OLED with low power consumption, excellent brightness, greater contrast, flexibility and reliability.

Micro LED of less than 10 µm size is required for displays needed high pixel per inch (PPI) but the quantum efficiency drop would occur by sidewall effect in the manufacturing process. Looking at the reason in detail, micro LED chips require separation of them by dry etching process and the sidewall effect reducing external and internal quantum efficiency happens not to optimize extraction of light by chemical contaminations and structural damages during the etching process.

ALD passivation on the sidewall of Micro LED after dry etching process

The passivation of sidewall by atomic layer deposition recover and remove the plasma damage by dry etching so that the quantum efficiency could be increased and also the ratio of improvement could increase as small as the size of micro LED.

Specially, the interest of productive ALD equipment has been gradually increased because of the excellent dielectric passivation by ALD Al2O3 thin films expecting to improve quantum efficiency.

NCD has been developing wafer based high throughput batch ALD system continuously enable to form high quality oxide passivation to improve the quantum efficiency of micro LED. By introduction of the system in production of micro LED, it could be expected to guarantee the productivity, high quality and performance reliability of high resolution micro LEDs for applications of AR/VR, flexible and wearable devices and extra-large displays.  

NCD Si wafer based batch ALD cluster system