Tuesday, January 23, 2024
Significant Investment in ALD Technology for MicroLED & AR: Oxford Instruments' Pioneering Role
Wednesday, December 8, 2021
Meaglow Hollow Cathode Gas Plasma Source Paper Published by the Journal “Coatings”
Friday, November 19, 2021
How Did Plasmas Change ALD? - w/ Erwin Kessels (ALD Stories Ep 8)
Tuesday, November 9, 2021
Innovative remote plasma source for atomic layer deposition for GaN devices
Thursday, May 20, 2021
Plasway, Fraunhofer IKTS and BALD Engineering to present fast SiO2 PEALD at ALD2021
Realization and Dual Angle, In-situ
OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP
Chamber Employing de Laval Nozzle Ring Injector for Fast ALD
Abhishekkumar Thakur1,
Stephan Wege1, Sebastian Bürzele1, Elias Ricken1,
Jonas Sundqvist2, Mario Krug3
1Plasway Technologies GmbH, 2BALD
Engineering AB, 3Fraunhofer IKTS
ALD-based spacer-defined multiple
patterning schemes have been the key processes to continued chip scaling, and they
require PEALD or catalytic ALD for low temperature and conformal deposition of
spacers (typically SiO2) on photoresist features for the subsequent
etch-based pitch splitting. Other SiO2 applications in the logic and
the memory segments include gap fill, hard masks, mold oxides, low-k oxides, hermetic
encapsulation, gate dielectric, inter-poly dielectric ONO stack, sacrificial
oxide, optical films, and many more. ALD is limited by low throughput that can
be improved by raising the growth per cycle (GPC), using new ALD precursors,
performing batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or
omitting purge steps to attain the shortest possible ALD cycle. Today’s latest
and highly productive platforms facilitate very fast wafer transport in and out
of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing
are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces,
or spatial ALD chambers.
We have developed a Fast PEALD
technology [1], realizing individual precursor pulses saturating in the sub-100
ms range. The key feature of the technology is the highly uniform, radial
injection of the precursors into the process chamber through several de Laval
nozzles [2]. To in-situ study (concomitantly from the top and the side of the
wafer surface) individual ALD pulses in the 10-100 ms range, we use two fast
scanning (≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm)
Optical Emission Spectrometers with a resolution in the range of 0.7 nm.
We present the results for PEALD of
SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS
pulse (Fig. 1) and 50 ms of O2 plasma pulse (Fig. 2). All the processes
were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in
the temperature range of 20 °C to 120 °C and at ~1 Torr max. pulse pressure.
The in-situ, time-resolved OES study of O2 plasma pulse, indicating
saturation of O* (3p5Pà3s5S) emission peak
already at 50 ms pulse duration (Fig. 3, 4) and associated extinction of
reactive O* within 161 ms (Fig. 5), suggest room for yet faster process. The
mean GPC diminishes with the electrostatic chuck temp (Fig. 6).
We will present a more optimized
PEALD SiO2 process and stacking of Fast PEALD SiO2 on top
of Fast PEALD Al2O3 in the same chamber without breaking
the vacuum. The results will comprise XPS, TEM, film growth uniformity across
300 mm wafer, and residual stress investigation for the film stack.
References:
[1] AVS ALD2020, Abstract Number:
2415, Oral Presentation: AM-TuA14
[2] Patent US20200185198A1
Thursday, April 22, 2021
Highlights of Prof. Erwin Kessels’ Recent Webinar on “Plasma-Assisted Atomic Layer Deposition: From Basics to Applications” Organized by the American Vacuum Society (AVS)
Interesting Highlights and Key Takeaways
This is a wonderful summary of the highlights & takeaways of my AVS Webinar early February. Thank you Abishekkumar and Jonas! And people are invited to contact me if they want to know more. I was (and still am) to also come back to it at https://t.co/Kg8by78GCa
— Erwin Kessels (@ErwinKessels) April 22, 2021
Friday, December 18, 2020
Prodrive Technologies launch Bias generator with shaped waveform for Atomic Layer Processing
Friday, September 18, 2020
Process Power: The New Lithography - Advanced Energy
Here is a very insightful article by PETER GILLESPIE, VP & GM, Semiconductor Products, Advanced Energy Industries on the progress of Plasma RF Generators and Matching Networks. The article looks at applications in 3DNAND High Aspect Ratio Contacts (HARC) and Logic FinFET transitor fabrication using reactive ion etching and plasma CVD using the latest plasma technology. This is a an articel in a series of three in SEMICONDUCTOR DIGEST entitled “Process Power Steps Out from the Shadows,” looking at the leading edge technology node process challenges to highlight key drivers that are fundamentally transforming the role and importance of process power.
Process Power: The New Lithography (SEMICONDUCTOR DIGEST, LINK)
"Evolution of RF power supplies (plasma generators) and RF matching networks. Today’s RF power delivery systems are highly sophisticated with frequency tuning, complex pulsing regimes, and agile micro-second response." (Below)