Primary modules of a FinFET are channel and shallow trench isolation (1), high-k metal gate (2) and transistor source/drain resistance (3). (Credit: Applied Materials)
Saturday, June 12, 2021
Applied Materials to present New Innovations Needed to Continue Scaling Advanced Logic (June 16)
Primary modules of a FinFET are channel and shallow trench isolation (1), high-k metal gate (2) and transistor source/drain resistance (3). (Credit: Applied Materials)
Friday, September 18, 2020
Process Power: The New Lithography - Advanced Energy
Here is a very insightful article by PETER GILLESPIE, VP & GM, Semiconductor Products, Advanced Energy Industries on the progress of Plasma RF Generators and Matching Networks. The article looks at applications in 3DNAND High Aspect Ratio Contacts (HARC) and Logic FinFET transitor fabrication using reactive ion etching and plasma CVD using the latest plasma technology. This is a an articel in a series of three in SEMICONDUCTOR DIGEST entitled “Process Power Steps Out from the Shadows,” looking at the leading edge technology node process challenges to highlight key drivers that are fundamentally transforming the role and importance of process power.
Process Power: The New Lithography (SEMICONDUCTOR DIGEST, LINK)
"Evolution of RF power supplies (plasma generators) and RF matching networks. Today’s RF power delivery systems are highly sophisticated with frequency tuning, complex pulsing regimes, and agile micro-second response." (Below)
Friday, March 15, 2019
Samsung’s GAA Transistor, MBCFET™ aims at Reduced Size and Increased Performance
Source: Samsung LINK
Written by : Abhishekkumar Thakur and Jonas Sundqvist
Wednesday, December 12, 2018
Researchers from MIT and University of Colorado produce smallest 3-D transistor yet
As described in a paper presented at this week’s IEEE International Electron Devices Meeting, the researchers modified a recently invented chemical-etching technique, called thermal atomic level etching (thermal ALE), to enable precision modification of semiconductor materials at the atomic level. Using that technique, the researchers fabricated 3-D transistors that are as narrow as 2.5 nanometers and more efficient than their commercial counterparts.
Full story : MIT News LINK
Friday, November 9, 2018
Samsung will give insights to their 3nm CMOS technology at IEDM2018
Samsung has recently stated (LINK) that they have started wafer production on its new 7LPP node (FinFET). According to the press release the process uses EUV lithography technology and demonstrates that Samsung's Foundry can follow its roadmap reaching down to 3 nm.
Imec to present Highest-Density 3DS Stacked FinFETs at IEDM 2018
Paper #7.1, “First Demonstration of 3D Stacked FinFETs at a 45nm Fin Pitch and 110nm Gate Pitch Technology on 300mm Wafers,” A. Vandooren et al, Imec
Source: IEDM Press kit (LINK)
Tuesday, September 13, 2016
FinFET Fundamentals by Lam Research
Transistors are at the heart of our cherished electronics. Millions, or even billions, of these tiny switches go to work for us when we check our mobile phones, use our computers, start our automobiles, or play on our gaming consoles. But what exactly are transistors and how do they work? And how have they changed over the years? Here we take a look at some transistor fundamentals, including the operation of a field-effect transistor (FET), as well as the FinFET technology inflection that is enabling even smaller, more powerful semiconductor chips.
Tuesday, August 30, 2016
Ultra-High Aspect Ratio InP Junctionless FinFETs by a Novel Wet Etching Method
Ultra-High Aspect Ratio InP Junctionless FinFETs by a Novel Wet Etching Method
Yi Song et alIEEE Electron Device Letters > Volume: 37 Issue: 8
Junctionless FinFETs with an array of ultra-high aspect ratio (HAR) fins, enabled by inverse metal-assisted chemical etching, are developed to achieve high on-current per fin. The novel device fabrication process eliminates dry etching-induced plasma damage, high energy ion implantation damage, and subsequent high-temperature annealing thermal budget, ensuring interface quality between the high-k gate dielectric and the HAR fin channel. Indium phosphide junctionless FinFETs, of record HAR (as high as 50:1) fins, are demonstrated for the first time with excellent subthreshold slope (63 mV/dec) and ON/OFF ratio (3 × 105). Published in: IEEE Electron Device Letters ( Volume: 37, Issue: 8, Aug. 2016 )
Thursday, August 18, 2016
What Transistors Will Look Like At 5nm by Mark LaPedus
As finFETs run out of steam after 7nm, what comes next? The debate is just beginning.
Chipmakers are currently ramping up 16nm/14nm finFET processes, with 10nm and 7nm just around the corner. The industry also is working on 5nm. TSMC hopes to deliver a 5nm process by 2020. GlobalFoundries, Intel and Samsung are doing R&D for that node.
But 5nm technology presents a multitude of unknowns and challenges. For one thing, the exact timing and specs of 5nm remain cloudy. Then, there are several technical and economic roadblocks. And even if 5nm happens, it’s likely that only a few companies will be able to afford it.
I am happy to see that they are using horizontal rather than vertical integration of Nanowires since we have developed an ALE process to realize longitudinal splitting of nanowires at Lund Nano Lab : http://www.blog.baldengineering.com/2016/07/lund-nano-lab-to-present-new-maskless.html
So we could make 10 out of those 5 wires... without Lihorgraphy(!)
Saturday, January 23, 2016
Gartner says that 7nm will be delayed and 5nm will be pushed out ot 2023
- Gate-All-Around FETs based on III/V Nanowires
- To extend FinFET
- Monolithic 3D and other 2.5D/3D IC technologies
Wednesday, January 6, 2016
News Flash - Volvo XC90 first car with ALD High-k/Metal Gate FinFET technology
Nvidia CEO Jen-Hsun Huang Keynote speaker at CES shows the Hardware module for Drive PX 2. (Bild: Nvidia)
Some ASM A412 Large Batch Furnaces form inside a TSMC 300mm Fab (Photo : Copyright® Taiwan Semiconductor Manufacturing Company Limited 2010-2016, All Rights Reserved. )
Wednesday, October 28, 2015
Imec FinFET to Vertical Nanowire FET Movie
Thursday, June 18, 2015
Silicon Nanowire Remains Favorite to Replace FinFET
- Vertical or Lateral?
- With or without EUV?
- What Material?
Imec presents successors to FinFET for 7nm and beyond at VLSI Technology Symposium 2015
Thursday, May 28, 2015
Asenov claims Nanowire transistors (NWT) favourite to succeed FinFET at 5 nm
Sunday, May 17, 2015
2016 will be another growth year for OEM stocks and Atomic Layer Processing
Technology transitions by memory companies :
- continued 3D NAND ramps
- additional 20nm conversions
- initial 1Xnm DRAM deployments
- deploying FinFET technologies (especially 10nm FinFET)
- multi-patterning steps and vertical transistors
"In general, we see capital intensity increasing by 10-15% on a per wafer basis when transitioning from 14nm/16nm FinFET to 10nm FF and by 15+% when transitioning to 20nm and below DRAM / 3D NAND. The number of critical patterning layers is increasing dramatically – in the foundry/logic segment, the number of critical layers is increasing by over 3x going from 28 nm node to the 10nm node…a significant increase," the analysts added.Read more: http://www.benzinga.com/analyst-ratings/analyst-color/15/05/5488523/jp-morgan-sees-another-growth-year-for-semiconductors-th#ixzz3aNRgk5q0
LAM Research
- Shallow trench isolation
- Source/drain engineering
- High-k/metal gate
- FinFET and tri-gate
- Double and quadruple patterning
- 3D NAND
Applied Materials
Tokyo Electron
- TEL Formula - Mini batch, thermal processes including ALD for High-k, SiO2, SiN.
- TEL INDY Plus - Large batch, thermal processes including ALD for High-k, SiO2, SiN.
- TEL INDY IRad - Large batch, PEALD for ultra low temperature SiO2 and SiN.
- TEL NT333 - Single wafer cluster tool for high t-put SiO2.
ASM International
Tuesday, September 30, 2014
High-k für Alle - High-k/Metal Gates in the 2010s by Dick James Chipworks
- Qualcomm Snapdragon 800 (TSMC 28HPM)
- Rockchip RK3188 (GLOBALFOUNDRIES 28SLP)
- Apple/Samsung A5 APL2498 (Samsung HKMG 32LP)
- Apple/Samsung A7 APL0698 (Samsung HKMG 28LP)
- IBM Power 7+ (IBM HKMG 32HP SOI)
- Texas Instruments OMAP5432 (UMC Poly/SiON 28LP)
- Intel E-1230 Xeon
- Intel Atom “Baytrail” SoC