Friday, May 16, 2014

ALE - Atomic Layer Etch emerges for 3D NAND, sub-20nm DRAMs and FinFETs

Atomic Layer Etch Finally Emerges - is a interesting blog post by Mark LaPedus (Executive Editor for manufacturing at Semiconductor Engineering) that you should really read if you want some insight into why we need ALE and why it has been difficult to develope this etch technique.
 
After nearly two decades of being confined to R&D labs, equipment makers are placing big bets on this next-gen plasma etch technology.
 
[...]
 
Lam’s Lill agrees that ALE will not replace RIE. “We will offer both technologies in one reactor,” Lill said. “We think they will be complementary for certain applications. But we are already seeing the transition (to ALE) in certain applications.”
 
ALE could be used for 3D NAND, sub-20nm DRAMs and finFETs, but there are still some challenges before ALE is running in the production fab. “There are still three grand challenges left,” Lill said. “One is that there are no secondary unintended reactions for ALE. For example, we don’t want extreme UV radiation in the reactor. Second, we want the unit steps to be discrete. And finally, we need self-limiting single unit steps. They are very difficult to find.”
 
Read more here and additional comments from experts from LAM Research, Applied Materials and Sematech : http://semiengineering.com/atomic-layer-etch-finally-emerges/ 

 
Illustration of the process steps in a plasma-enhanced ALE cycle for a silicon film etched by chlorine and argon. (Source Electroiq)
 
[please note that we used to know ALE as Atomic Layer Epitaxy until ALD - Atomic Layer Deposition took over]

No comments:

Post a Comment