Showing posts with label TECHCET. Show all posts
Showing posts with label TECHCET. Show all posts

Thursday, September 28, 2023

Semiconductor Supply Chain Problems Running Rampant?

Solutions to mitigate future materials supply vulnerabilities

By Lita Shon-Roy, MS/MBA, and Sachi Brown, TECHCET CA

Over the past 2 to 3 years, the semiconductor industry has faced extreme pressure to meet growing consumer demand for an abundance of everyday electronic products like cars, smartphones, and computers. This pressure has only been amplified by various supply chain issues stemming from the raw material sources that are essential to building semiconductors. These material dependencies are easy to overlook since they reside in the sub-tier of the semiconductor market, hidden from direct view of what is sold to chip fabricators and consumers. TECHCET, a leading materials supply chain analysis firm, has consistently worked to uncover many of these dependencies, such as for fluorspar, neon, and helium. These materials play an essential role in the supply chain lifeline to the semiconductor industry and require expertise to identify, qualify, and track for the efficient forward movement of the market.


With recent chip shortages, various producers around the world have announced plans to invest in chip expansions that total more than US$500B over the next five years. For the US alone, this equates to an increase of >45% in semiconductor wafer starts by 2026. While this sounds hopeful for resolving chip deficiencies, it still does not address one key weakness: material shortages. As the industry expands, the risk of complications to the semiconductor supply chain grows, elevating the importance for material supply chain tracking and analysis.

Sulfuric acid is one example of an essential material that would put the semiconductor supply chain at risk if its supply is not properly managed. Fortunately, TECHCET has identified a >50% increase in demand for US sulfuric acid by 2026 to help key chip fabs prepare for expansions. TECHCET consistently provides key metrics related to supply and demand to the Critical Materials Council (CMC), a consortium formed in the mid-1990’s made up of chip fabricators and material suppliers. The Council also provides feedback to TECHCET to direct their ongoing supply chain analysis work. Identifying materials-related disruptions, dependencies, and weaknesses within the supply-chain, are all key elements of TECHCET’s focus and benefits to the CMC subscriber members.

In recent years, material shortages from the Russia-Ukraine conflict and COVID-19 have proven to be high stress points for chip fabricators and material suppliers. For example, neon gas faced shortages at the onset of the Russia-Ukraine war, threatening the stability of semiconductor production and causing high anxiety among chip fabs. At the time, it was unknown how much the US and Asia relied on Ukraine for neon supply. TECHCET managed to uncover various dependencies on Ukrainian neon from different regions around the world, helping major chip companies re-evaluate and better stabilize their supply chains. During the COVID pandemic, sporadic and extreme ocean freight roadblocks also contributed to slowdowns in chip manufacturing. In response to these disruptions, CMC subscriber companies met with logistics and shipping port officials to improve mitigation strategies for further supply interruptions.

CMC member subscribers also gain insight into supply chain challenges from the CMC Seminar. The next one will be hosted in Taiwan (October 25) and will focus on current problems in the materials supply chain and future quality requirements. This event is one of several that brings conversation on supply issues to the forefront. These events connect the entire semiconductor ecosystem by providing essential information on critical materials needed by decision makers at chip fabricators, suppliers, and government. The current CMC chip fab subscribers include more than a dozen of the world’s largest chip makers. (Reference: https://cmcfabs.org)

Given the massive impact semiconductors have in our digital global society, there is a growing and persistent need to manage the coming supply-chain issues, especially with expectations for chip volume to sharply ramp come 2025-2026. Looking into the future, TECHCET and the CMC will continue to facilitate coordination among key players in the materials and chip industry to navigate what lies ahead.

For more information on TECHCET: https://techcet.com or https://cmcfabs.org/2023-cmc-seminar/.

Lita Shon-Roy is President/CEO of TECHCET CA LLC, an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries.

Sachi Brown is the Marketing Specialist of TECHCET CA LLC, in charge of marketing communications.

Monday, September 19, 2022

New 2022 Critical Materials Reports from TECHCET

New 2022 Critical Materials Reports from TECHCET LLC CA. TECHCET provides Business Intelligence and Analysis on the Electronics and Semiconductor Materials Markets through our annually published Critical Material ReportsTM (CMR). TECHCET CMR’s are developed through extensive market research led by industry specialists. Each CMR includes Quarterly Updates, emails on Analyst’s Alerts of breaking news, and a phone consultation with the analyst.





Friday, September 9, 2022

Semiconductor Equipment Consumables - Silicon Parts, in High Demand with Continued Shift Toward Asia

San Diego, CA, September 8, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the demand for high-purity Silicon Parts for semiconductor manufacturing equipment will continue strong in 2022. This forecast is expected as equipment sales grow and fabs expansions continue to ramp. The Silicon Parts market is expected to top US $900 million, a 10% increase from US $824 million in 2021. TECHCET forecasts the 2021 to 2026 CAGR for this market to grow at an almost 6% rate, as shown in the graph below and described further in TECHCET’s Newly Released Critical Materials Report™ (CMR) on Silicon Equipment Components.



“Silicon Parts are primarily consumed in etch equipment systems, so market growth is heavily tied to chip production and influenced by new etch equipment sales,” states Dan Tracy, senior analyst at TECHCET. Additionally, the replacement part segment represents about 70% of the market as these consumable parts have a finite lifetime, and need to be replaced per a fab’s maintenance schedule. Given industry fab investment trends, about 66% of the new and replacement parts are for the 300 mm fab manufacturing segment.

To read the full article, go to: https://lnkd.in/gK-fp8H9

For more information details on the Silicon Parts market and growth trajectory, go to: https://lnkd.in/gM9GMNUs

Tuesday, January 12, 2021

Semiconductor Materials $50B Market on a Strong Run in 2021

Semiconductor Materials $50B Market on a Strong Run in 2021Pandemic pushing people to Work From Home and School From Home

San Diego, CA, January 12, 2021: TECHCET announced that global revenues for semiconductor manufacturing and packaging materials grew approximately 4% year-over-year (YoY) in 2020 to ~US$50B, and are expected to grow ~7% in 2021 to nearly US$54B. The COVID-19 pandemic has created strong demand for both logic and memory ICs to support Work From Home (WFH) and School From Home (SFH), and such demand is expected to increase despite expanding availability of virus vaccines. Also, demand for automotive ICs has recovered and will be an important driver in 2021 growth. The compound annual growth rate (CAGR) through 2024 is forecast at 5.3% as per the latest TECHCET Critical Materials Reports (CMR) and shown in the attached figure.

Analysts' Alerts emailed to CMR purchasers help them anticipate and mitigate potential supply-chain disruptions. Excerpts have been publicly disclosed on the following critical materials (https://lnkd.in/dzAZcCw):

* IPA in "CMC Considers Bio-Solvents to Reduce Fab Risks",
* HF in "Semi Wet Chemicals US$2B Market Threatened by Localization", and
* Lanthanum in "Rare Earth Elements (REE) Supply Uncertain for IC Fabs".



Friday, November 6, 2020

Refreshing Material Advances for Logic, Memory, and Packaging5th CMC Conference "After-Hours" Available up to December 11

How to keep semiconductor fabs supplied with critical materials despite a pandemic and trade wars was discussed by >250 industry experts gathered in virtual space October 21-22 during the 5th annual Critical Materials Council (CMC) Conference. CMC Fab Members and Associate Supplier Members were joined by leading industry analysts, educators, and investors in discussing business and technology trends in the value-chain for advanced packaging, logic, and memory. The "after-hours" virtual conversations will continue through December 11th using the conference app and website, and new people can join in through November 16th.

"There were a lot good topics especially on materials challenges for leading edge technology and heterogeneous integration, global issues on material supplies, and emerging materials development," commented Dr. Lihong Cao, Director of Engineering and Technical Marketing at ASE, and Session 4 presenter.



Dr. Lauren Link of Intel discussed the need to find ways to integrate more front-end fabrication materials into packaging. The challenge is doing so in a cost-effective manner, without over-specifying materials and process requirements.

CMC-Oregon-Header

5th Annual CMC Conference "After-hours" Starting Now!

Didn't Catch the Conference "Live"? No Worries! Register Today and Get Access to the recordings, Connect attendees, Engage in Q&A!

Registration Open until November 16

Access Presentations thru December 12

Tuesday, September 22, 2020

Semiconductor Materials Market to Hit $50B in 2020 Up 3% Winds Reverse on the Global Supply-Chain Seas

September 21, 2020: TECHCET announces that 2020 global materials revenues in semiconductor fabrication are now forecasted upward year-over-year (YoY) despite potential disruptions to manufacturing:

  • Overall revenues +2.8% to hit over $50B, versus outlook in April for -3%,
  • Front End Materials +5% to hit $16.4B, and
  • Equipment Components +10% to hit $3.8B.

While the impact of COVID-19 on the global economy is serious, IC fabrication is steady for devices to Work From Home (WFH) and School From Home (SFH). As predicted, leading-edge ICs to build out data centers are in strong demand this year, as part of forecasted 5.4% Compound Annual Growth Rate (CAGR) for fab materials through the year 2024 (Figure below).

“TECHCET now sees Front-End Materials volumes and revenues for the year 2020 to be buoyed up by cloud computing and devices to support Work From Home and School From Home,” remarked Lita Shon-Roy, TECHCET President and CEO.

For Critical Materials Reports™ and Market Briefings: https://techcet.com/shop/

To register for 2020 CMC Conference: https://lnkd.in/eARPxRJ


 

Tuesday, March 31, 2020

Electronics Gas Market to reach $8.0B by 2024 despite expected COVID-19 impacts

San Diego, CA, March 30, 2019: TECHCET announced that the semiconductor fabrication gases market is forecasted as net positive in revenue growth for Q1, despite COVID-19. Although economic uncertainties for the remainder of the year may slow growth, current indications from the materials supply-chain look like "business as usual."

"Suppliers say that orders are strong," summarizes TECHCET President and CEO Lita Shon-Roy. "However, concerns exist that fabs may start to stock-pile materials to mitigate the possibility of interruption, especially from US suppliers that are now in the throes of the COVID-19 spread."

One recent positive for chip fabs is helium availability, where non-semiconductor demand is expected to ease. Given the COVID19 situation, medical and recreational (party balloons) helium demand will decline, allowing for the current shortage in the semiconductor supply-chain to mitigate sooner than expected. Major new sources like Gazprom, Arzew, and Qatar are scheduled to finally come online later this year.

TECHCET is also tracking potential disruptions in raw materials for critical gases—e.g. germanium for GeH4 and GeF4, fluorspar for HF, tungsten for WF6—has been minimal, because many Chinese suppliers had prepared safety stock for the Lunar New Year break.

Air Liquide, Air Products, Linde, Messer, and SK Materials have all announced increases in global production of gases. The market for both bulk- and specialty-gases is forecasted to grow from US$5.4B in 2019 to US$8.0B by 2024, as shown in the figure below. However, uncertainties exist for 2020 where demand may soften as a result of a prolonged impact of COVID19 on global economies.

TECHCET’s Critical Materials Report™ on Electronic Gases includes market landscape analysis and company profiles of Air Liquide, Linde, TNSC-Matheson, Versum Materials, Air Products, Showa Denko, SK Materials, Air Water, Hyosung, Peric, Kanto Denka Kogyo, and more. To purchase Report go to: https://techcet.com/product/gases/ 

Wednesday, December 18, 2019

2020 CMC Conference New Session on Advanced Packaging Materials - CHIPS & EMIB for SiP




San Diego, CA, December 17: The Critical Materials Council (CMC) of semiconductor fabricators and TECHCET announce a new addition to the 2020 CMC Conference

Advanced Packaging Materials. Scheduled for April 23-24 in Hillsboro, Oregon, the 5th CMC Conference, will explore actionable technical and value-chain trends of critical materials for global semiconductor fabs and feature keynotes from leaders in semiconductor technology and materials. The conference keynote address this year will be:

"Critical Materials Pushing the Limits for Semiconductor Manufacturing"
by Bruce Tufts, Vice President of Technology and Director of Fab Materials Organization, Intel Corp.

Sessions will cover: 
I. Global Value-chain Issues, Including Economics and Regulations,
II. Immediate Challenges of Materials & Manufacturing,
III. Emerging Materials in R&D and Pilot Fabrication, and
  New this year is a fourth session,
IV. Advanced Packaging Materials

Lead by Session Chairman Jim Hannah, Product Development and Applications Manager of SEH, the Advanced Packaging Materials Session will address, system level performance scaling issues and the increased reliance on packaging. As explained by Mr. Hannah, “We see the lines starting to blur between packaging and the back-end wiring on-chip. The CMC Conference will cover both current challenges and future requirements of packaging materials needed to support this middle-ground."

A keynote address on “The Future of Silicon as a Packaging Material" for this new session will be provided by Dr. Subramanian Iyer, principle of UCLA’s Center for Heterogeneous Integration and Performance Scaling (CHIPS) consortium, IEEE Fellow, IBM Fellow, IIT Distinguished Alumnus, and UCLA Distinguished Chancellor's Professor of both Electrical and Computer Engineering and Materials Science and Engineering.


Dr. Lauren Link, Intel's Technical Program Manager, Substrate Business Group, will present on materials to enable Embedded Multi-die Interconnect Bridge (EMIB) connections between silicon chiplets in advanced Heterogeneous Integration (HI) System-in-Package (SiP) products.

CMC member companies will be attending the public CMC Conference, which follows the annual members-only CMC meeting to be sponsored by Intel and held April 21-22. Conference attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. Business drives our world, but technology enables the profitable manufacturing of semiconductor devices and facilitates the introduction of new materials.

To submit a paper for consideration, send a 1-page abstract focusing on critical materials supply dynamics by January 15, 2020 to

For more information and registration:


 For more information on CMCFabs or CMC Associate Memberships, please contact Diane Scott at dscott@techcet.com. For information on sponsoring the CMC Conference please contact Yvonne Brown at ybrown@techcet.com, +1-480-382-8336 x1.

CMC Fab members include:


Copyright 2019 TECHCET CA LLC all rights reserved