Thursday, September 15, 2022

AlixLabs AB today announced that the company has been granted a second patent in the USA relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

MON, SEP 12, 2022 21:19 CET. AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

The company is now pleased to announce having been granted a second patent in the USA. USA is one of the most important markets for leading-edge semiconductor manufacturing, a huge industrial and consumer market for the most advanced electronic products and hence crucial for AlixLabs to protect its innovative APS process by IP.

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted the first US and Taiwan patent, we are now delighted to announce that our second US patent has also been granted and that we have more patent applications in the pipeline".


AlixLabs: Amin Karimi, Reza Jafari Jam, Yoana Ilarionova, Jonas Sundqvist and Dmitry Suyatin.

Dr. Amin Karimi, R&D and Operation Manager, added, "I am delighted to add that ALixLabs has been finalized a 1 Million SEK Vinnova funded project to verify the APS technology in the fabrication and electrical characterization of nanowire test transistor architectures this summer". Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, concluded that "The strategy as we advance is to successfully transfer the APS technology to 300 mm wafer processing and making it readily available for process demonstration for the leading IDMs & Foundries. We don't only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gasses during chip manufacturing considerably. This is not by itself a Green Fab Technology. However, it takes one small step in the right direction."

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021 and now the second patnet (US11424130) on August 23, 2022. The patents covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com.

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

No comments:

Post a Comment