Showing posts with label Batch ALD. Show all posts
Showing posts with label Batch ALD. Show all posts

Wednesday, February 22, 2017

Beneq release productivity data for their new 200 mm ALD Batch tool T2S

Today Beneq released productivity data for their new 200 mm ALD Batch tool T2S.

Beneq Blog: Better ROI with automated high-capacity ALD tools

Beneq T2S capacity with various different materials and thicknesses. (beneq.com)

Automated batch wafer equipment for high volume manufacturing

Beneq T2S™ is the newest member in Beneq’s wafer-based production equipment portfolio. It offers a unique combination of high capacity batch processing and standard cassette-to-cassette automation. The Beneq T2S is specifically engineered to match the semiconductor requirements, including the SEMI S2 safety requirements and low particle counts. 

Beneq T2S is perfectly suited for high volume manufacturing in various wafer-based applications, including MEMS, LED, OLED, ink-jet print heads and more. The thermal batch ALD process of Beneq T2S is ideal for oxide and nitride processes used for dielectric, conductor, barrier and passivation purposes.

Process details:
  • Up to 200mm wafers in diameter
  • Max 50 wafers per hour
  • Face-down and face-up processing options

Thursday, June 4, 2015

Cambridge Nanotech delivers Phoenix G2 Batch ALD system to Japan

Ultratech Cambridge NanoTech today reports that a Major Japanese manufacturer to use their  Phoenix G2 Batch Atomic Layer Deposition system for thin-film deposition on large area substrates. "The ability to deposit batch ALD solutions using ozone instead of H2O opens the door to new opportunities in several markets." Check out my previous blog on using ozon in ALD here.

SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Ultratech, Inc. (Nasdaq: UTEK), a leading supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high­brightness LEDs (HB­ LEDs), as well as atomic layer deposition (ALD) systems, announced that its Cambridge NanoTech business unit Ultratech-CNT has delivered a new, second-generation production tool, the Phoenix G2 Batch ALD system to a major Japanese manufacturer. The system was purchased for its ability to deposit on multiple large area substrates utilizing a new, high-output oxidant source. Ultratech CNT is at the cutting-edge of nanotechnology thin-film development and leads the field with new innovations and technologies enabling it to meet the high demands of the expanding ALD market.

The company introduced the first Phoenix system in 2008 followed by enhanced models of the system in 2010. The current Phoenix G2 further expands the capabilities of the tool, and offers production-oriented safety and stability features. The Phoenix G2 thermal ALD system is a large format batch tool with flexibility to handle wafers, large planar substrates, and non-planar objects. Multiple operation modes enable manual and automated use of the system, thereby providing the end user the freedom of transitioning from research and development to production in a single platform. As a versatile, thin-film deposition technique, ALD usage is ever increasing, along with its role as an enabler in a broad spectrum of critical technologies, such as microelectronics, lighting and display, energy storage, MEMS, data storage and more. As a result, the Phoenix G2 system speeds the transition from lab-to-fab.

Ultratech Cambridge NanoTech Vice President of Global Sales, ALD Products, Bob Kane, said, "The ability to deposit batch ALD solutions using ozone instead of H2O opens the door to new opportunities in several markets. With new ALD applications emerging every week, the Phoenix G2 is designed for use in any environment from pilot to volume production with industry-leading reliability. As the leading ALD supplier to academic institutions and industrial manufacturers worldwide, Ultratech CNT will continue to develop bridge-tool solutions that implement new technologies and techniques that enable the transition from research to manufacturing environments."


Ultratech CNT's Phoenix G2 Batch ALD System

The Phoenix is engineered for high throughput and maximum uptime in any fabrication environment, from pilot production to industrial-grade manufacturing. Technologists and researchers rely on the Phoenix for repeatable, highly-accurate film deposition on flat and 3-D substrates alike. And with support for up to six individual precursor lines, the Phoenix delivers solid, liquid, or gaseous process chemistries depending on the thin film needs. The precise software control of process parameters, including temperature, flow and pressure, provide defect-free coatings on the most sensitive substrates. A compact footprint and innovative design, plus numerous automation options, makes Phoenix G2 system the practical choice for those with batch production ALD requirements. 



Monday, June 1, 2015

Picosun Summer ALD News

Well in time for the AVS ALD 2015 International Confernce in Portland USA, Picosun releases Summer News 2015. The magazine is available online with some great stories and news and can be downloaded here and the main headlines you can see below: 


Picosun’s leading vacuum batch options win more market in MEMS, LED, and III-V
manufacturing

MEMS, LEDs, and other III-V compound semiconductor devices are central market segments for Picosun’s production ALD technology. In order to maintain the spearheading position as the solutions provider to these manufacturers, Picosun has built an extensive range of automatic vacuum batch sample handling systems optimized for the requirements of the above mentioned industries. Two examples are shown below, the PICOPLATFORM™ vacuum cluster system and the PICOSUN™ P-300 Pro reactor equipped with the batch flipping mechanism


Versatile, multifunctional, high throughput PICOPLATFORM™ vacuum cluster systems have been a solid success since their launch. The substrate handling system of the PICOPLATFORM™ tool is based on Brooks MX™- or Marathon™ -series vacuum robot clustering units.


The PICOSUN™ P-300 Pro reactor equipped with the batch flipping mechanism is optimal for e.g. MEMS manufacturing line, where the most part of the processing happens in horizontal geometry, in contrast to the vertical position required for the wafers in the ALD reactor. The flipping system picks the wafers from the loading cassette one by one and flips them into vertical position in the wafer holder for the ALD process step. During the unloading step, the wafers are again flipped back to horizontal position in the receiving cassette. Currently, the flipping system is optimized for handling a cassette of 25 pcs of 200 mm wafers.

Picosun’s Asian expansion continues in Taiwan

Picosun and National Chiao Tung University (NCTU) have established a Joint Industrial ALD Research Laboratory at the premises of NCTU’s X-Photonics Interdisciplinary Center in Hsinchu City, Taiwan.

 
The signing ceremony of the Joint Industrial ALD Research Laboratory. From left to right: ATOM SEMICON Vice President Mr. Bob Lin; CEO of Picosun Asia Pte. Ltd. and Applications Director of Picosun Oy, Dr. Wei-Min Li; Vice President for Research and Development of NCTU, Prof. Edward-Yi Chang; Chairman of the Board and CEO of Picosun Oy, Mr. Kustaa Poutiainen; Secretary General of NCTU, Prof. Hsin-Tien Chiu; and Associate Vice President, Office of International Affairs and Distinguished Professor, Department of Photonics and Institute of Electro-optical Engineering, Prof. Hao-Chung Kuo.

Large scale powder ALD enabled with POCA™ 300 and Picovibe™ technologies from Picosun

Following the recent news of Swedish Nanexa using ALD to coat medically active particles for precise drug delivery (PharmaShell® is a completely new drug delivery system) it is interesting to see that Picosun also offers a full scale production unit through the POCA and Picovibe technologies.


Picosun’s POCA™ 300 powder coating system allows ALD processing of large powder batches utilizing the company’s industry-standard PICOSUN™ P-300 reactor design.

Picosun extends ALD solutions portfolio for mechanical 3D part protection 

The unmatched quality of the ALD films and the ALD method’s ability to cover reliably and uniformly even the tiniest surface details make it an ideal technique for protective surface treatments for macroscopic 3D objects. Picosun’s production-proven ALD technology already enables anti-tarnish and decorative coatings on coins, watches, and jewelry parts, offering totally new, improved, environmentally friendly, and cost-efficient alternative to traditional surface protection methods. Now, the solutions portfolio has been extended to yet new product categories such as printed circuit boards (PCBs), medical implants, and, as an example of heavier machinery, engine, pump, and compressor parts.


Picosun’s production-proven ALD coating solutions are already in use in medical implant manufacturing. ALD is an ideal method for bioactive surface functionalization of titanium alloy dental implants, metal parts of artificial hips, and other implantable surgical devices, for improved patient safety and longer lifetime of the devic

Customer interviews:

  • Prof. Hao-Chung Kuo, National Chiao Tung University, Taiwan
  • Dr. Giuliana Impellizzeri, National Research Council, Catania, Italy
  • Dr. Jonas Sundqvist, Lund University, Sweden

Monday, June 16, 2014

PICOSUN™ P-300B ALD production tool is a success

Picosun reports today: 16th June, 2014 – Picosun Oy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment for global industries, reports several new orders for it­s success product for High Volume Manufacturing, the PICOSUN™ P-300B batch ALD tool.

Multiple industrial production customers around the world, representing fields such as MEMS (MicroElectroMechanical Systems), LEDs (Light-Emitting Diodes), and passivation of large batches of machined metal parts, have placed repeated orders for P-300B ALD systems. Some of the systems also come equipped with various automatic loading options available from Picosun, such as linear loading or batch handling with industrial robotics. 
 
PICOSUN™ P-series Atomic Layer Deposition (ALD) systems set a new standard for ALD production tools, providing extremely fast process times and very low cost of ownership with the patented design solely based on the requirements of the ALD method. The reactor design is optimized for efficient processing of batches of 4-18" (100-450 mm) or 156 mm x 156 mm solar wafers  and  glass substrates up to 460 mm x 640 mm with high throughput that fulfills HVM requirements for both for electronics manufacturing and solar applications. PICOSUN™ P-series ALD tools are reliable, have a small footprint and are fully compatible with the most stringent clean room processing requirements. Operation and maintenance of the PICOSUN™ P-series ALD tools is fast and simple. Excellent particle performance and short cycle times can be reached thanks to the unique batch chamber design. Performance of the ALD system can be maximized with automated loading systems. Facts about the PICOSUN™ P-300B ALD can be found here.
 
P-300B ALD system is well-known for its world leading process quality regarding particle levels down to 0 – 2 added particles per wafer and lower than 1 % film non-uniformity in a batch for several processes. Easy and fast maintenance, as high as 99 % uptime, and 100 % yield have been reported by customers.  

“We are proud that our newest generation of batch ALD tools have provided for industrial breakthrough of ALD to several completely new application areas, enabling Picosun’s frontline position in spearheading new technologies and adding value to existing ones with today’s leading thin film processing solutions. Our dedication and unparalleled, cumulative know-how in ALD has again manifested itself in the repeated demand for our production ALD technology from various prominent global industries,” summarizes Juhana Kostamo, Managing Director of Picosun.  

Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.