Showing posts with label Hafnium. Show all posts
Showing posts with label Hafnium. Show all posts

Thursday, November 25, 2021

Watch again - Material development for MRAM and FRAM stacks at Fraunhofer IPMS-CNT

Material development for MRAM and FRAM stacks

Dr. Lukas Gerlich & Konrad Seidel (Fraunhofer IPMS - Center Nanoelectronic Technologies)

Today, data is the lifeblood disrupting many industries. The vast majority of this data is stored in the form of non-volatile magnetic bits in hard disk drives. This technology was developed more than half a century ago and has reached fundamental scaling limits that prevent further increases in storage capacity. New approaches are needed.

In the webinar, FRAM (Ferroelectric Random Access Memory) and MRAM (Magnetoresistive Random Access Memory) will be presented as two promising concepts for future ultra-low power memory technologies. Special attention will be paid to material development and fabrication on state-of-the-art industrial equipment for 300 mm wafers.


Previous Webinar: Fe- FET - A Memory Device for Maximum Integration, Konrad Seidel (IoT Components and Systems) Webinars - Fraunhofer IPMS



Saturday, March 6, 2021

ASM International confirms that ALD HKMG is in High Volume Manufacturing for DRAM - The 2nd Switch is on!

I get this question continuously and also use it for modeling the high-k precursor forecast as provided by TECHCET - has ALD High-k/Metal Gate stacks moved into DRAM peripheral transistors?

When at Qimonda (R.I.P) we developed a HfSiO process or the peripheral Logic and qualified a number of OEMs for this one including ASM Pulsar 3000, Jusung Cyclone+ Spatial ALD, and TEL Furnace ALD. ASM has since Intel 45 nm been the leader in the HKMG module. Later they also fended off the competition from Applied Materials and Tokyo Electron MOCVD option trying to enter the foundries that were just too hot for the integration moving to lower thermal budgets. 

So now finally I can give an answer with a public reference to the question - yes ALD is in HVM for DRAM HKMG peripheral transistors! The Switch is on also for DRAM - have a nice weekend!

Benjamin Loh (ASMI CEO), answers on financial analyst question about if ASM has ALD tools in the field for DRAM high-k/metal gate:

"Mark thanks. So, of course, first of all, maybe let's talk about the memory parts of, in DRAM we started quite some time ago, we have been qualified for the high-k/metal gate in the DRAM periphery transistor. So right now, what you see for example, and what is called in the industry as high-performance DRAM. I think they are using our ALD for the mass for the high-volume manufacturing." 

Please find the full Q4/2020 investor call transcript here provided by Seeking Alpha: LINK (you have to create a profile to get full access)


TEM images of (A) 30 nm and (B) 65 fin height, of 15nm fin width, as used in a recent Imec study of HKMG FinFETs for peripheral DRAM Logic. DOI: 10.1109/IIRW47491.2019.8989914 Conference: IEEE International Integrated Reliability Workshop, IIWR'19 At: Stanford Sierra Conference Center Fallen Leaf Lake Tahoe, CA, USA


ASM’s Pulsar uses ALD to deposit the high-k dielectric materials required for advanced CMOS transistor gates ​and other applications. Pulsar is the benchmark ALD high-k tool for the industry. It was the first ALD system to be used for high-volume production at advanced customers for high-k metal gate transistors. (www.asm.com)

Tuesday, February 23, 2021

Progress and future prospects of negative capacitance electronics: A materials perspective

NaMLab and TU Dresden, who has performed groundbreaking research on Ferroelectric hafnium oxide are also deep into Negtavie Capacitance devices for electronics to come. They have postulated 5 requirements for prospective ferroelectric materials that NC transistors need to fulfill to be useful for practical devices:

1. Robust ferroelectricity at 5 nm thickness and below
2. Compatibility with CMOS technology
3. Thermal stability on silicon
4. Conformal deposition on 3D substrates
5. Large electronic bandgap and conduction band offset to Si

Looking at the number 4 - ALD will come in handy. Enjoy the reading of their prospect paper below, which is open access.

Progress and future prospects of negative capacitance electronics: A materials perspective

Michael Hoffmann, Stefan Slesazeck, and Thomas Mikolajick

APL Materials 9, 020902 (2021); https://doi.org/10.1063/5.0032954

Negative capacitance in ferroelectric materials has been suggested as a solution to reduce the power dissipation of electronics beyond fundamental limits. The discovery of ferroelectricity and negative capacitance in the widely used class of HfO2-based materials has since sparked large research efforts to utilize these effects in ultra-low power transistors. While significant progress has been made in the basic understanding of ferroelectric negative capacitance in recent years, the development of practical devices has seen limited success so far. Here, we present a unique view of the field of negative capacitance electronics from the ferroelectric materials perspective. Starting from the basic principles of ferroelectric negative capacitance, we discuss the desirable characteristics of a negative capacitance material, concluding that HfO2-based ferroelectrics are currently most promising for applications in electronics. However, we emphasize that material non-idealities can complicate and in some cases even inhibit the design and fabrication of practical negative capacitance devices using HfO2-based ferroelectrics. Finally, we review the recent progress on experimental devices and give an outlook on the future direction of the field. In particular, further investigations of the microscopic structure of HfO2-based ferroelectrics are needed to provide an insight into the origin of negative capacitance in this material system and to enable predictive device design


Historic trend of the supply voltage Vdd and equivalent oxide thickness (EOT) scaling in commercial metal–oxide–semiconductor field-effect transistor (MOSFET) technologies. The black dashed line indicates the EOT limit given by the necessary SiO2 interface between the Si channel and the high-k material, and the red dashed lines indicates the minimum supply voltage due to the Boltzmann limit. HKMG: high-k metal gate. NC: negative capacitance.

Thursday, February 18, 2021

Ferroelectric Field Effect Transistors (FeFETs) Bring Promise And Challenges

It is truly amazing to see the progress of FMC in Dresden and the recent drive in the semiconductor industry for Ferro FETs. Continuously you read about involvement from many of the big names in the industry. Here is a very good overview of the current status written by Bryon Moyer at Semiengineering.

[Article in Semiengineering]: Ferroelectric FETs (FeFETs) and memory (FeRAM) are generating high levels of interest in the research community. Based on a physical mechanism that hasn’t yet been commercially exploited, they join the other interesting new physics ideas that are in various stages of commercialization.

“FeRAM is very promising, but it’s like all promising memory technologies — it takes a while to get beyond promising,” said Rob Aitken, fellow and director of technology on the research team at Arm. “It has the potential to have better benefits than the other new non-volatile memory (NVM) technologies that are on the table today.”

Ferroelectric behaviors are opening up opportunities for non-volatile memory, combined logic/memory functions, and neuromorphic modeling. While it’s still early days for the technology, developers are cautiously optimistic about its future.

Source/Full version: LINK

CEO interview: FMC’s Pourkeramati on roadmaps, turning away investors
https://www.eenewsanalog.com/news/ceo-interview-fmcs-pourkeramati-roadmaps-turning-away-investors



The annealing and zirconium quantity have a strong impact on the crystal arrangement. Source: FMC





Monday, December 7, 2020

High-quality HfS2 2D-material by ALD at 100°C

Tuesday, May 19, 2020

Hafnium, Zirconium: Australian Strategic Materials a step closer to completing commercial pilot plan

Recent semiconductor materials related trade issues between Japan and South Korea have led South Korea to secure alternative sourcing of photoresists and metals for their semiconductor industry. This includes essential minerals for hafnium and zirconium ALD precursors that are used in the manufacturing of DRAM and Foundry logic at SK Hynix and Samsung fabs.

Besides the tension with Japan, China's dominance in the supply of zirconium chemicals and materials has highlighted the additional risk in the critical materials supply change for its important semiconductor and high tech industries.

One such action has been setting up a pilot plant in South Korea for hafnium and zirconium metal in joint development with Australias Alkane and its subsidiary Australian Strategic Materials (ASM). The joint undertaking has now moved to the next phase for a commercial operation of a pilot plant as reported by Alkaine below.

Australian Strategic Materials a step closer to completing commercial pilot plan

Australian Strategic Materials (ASM), a wholly owned subsidiary of Alkane Resources is getting closer to completing the construction of a commercial pilot plant facility in South Korea that will enable critical metal oxides, including zirconium and hafnium, to be converted into metals in clean, carbon-free way.

As the Covid-19 pandemic continues to highlight weaknesses in critical minerals supply chains globally, ASM has confirmed in Alkane Resources' quarterly recently it has received interest in both potential future supply and partnership from a number of parties in South Korea and elsewhere. ...

Read more.



Read more about previous blog about the Alkane Dubbo project in New South Wales, Austrailia:

The Dubbo Project - The High-k mine in Dubbo, NSW Australia

Hafnium product breakthrough consolidates Dubbo Project business case

China’s water crisis stems the flow of zirconium and rare earths for global industries

Alkane Resources reports that zirconium oxychloride (ZOC) prices are up 40% since January 2017


Saturday, January 11, 2020

A comparasion of Hafnium and Zirconium ALD precursor comparison

Here is a very nice review paper from Uwe Schröder and co-workers at NaMLab in Dresden on comparing Hafnium and Zirconium ALD precursors published in the past decades and the selection for mixed HfO2 and ZrO2 ALD high-k and ferroelectric applications.

HfxZr1 − xO2 thin films for semiconductor applications: An Hf- and Zr-ALD precursor comparison editors-pick

Journal of Vacuum Science & Technology A 38, 022402 (2020); https://doi.org/10.1116/1.5134135
Monica Materano, Claudia Richter, Thomas Mikolajick, and Uwe Schroeder
In the last few years, hafnium oxide (HfO2), zirconium oxide (ZrO2), and their intermixed system (HfxZr1 − xO2) have aroused more and more interest due to their outstanding properties in the frame of semiconductor applications. Different mixtures of these two sister materials, i.e., different Hf:Zr ratios in HfxZr1 − xO2 layers, as well as different crystal arrangements come with a wide set of structural and electrical properties, making this system extremely versatile. Starting from an amorphous layer, the different crystalline phases are easier to be targeted through subsequent thermal treatment. A correct understanding of the deposition process could help in obtaining films showing the addressed material properties for the selected application. In this paper, a comparison of Hf- and Zr-atomic layer deposition precursors is conducted, with the goal of depositing an almost amorphous HfxZr1 − xO2 layer. Material composition is tuned experimentally in order to address the properties that are relevant for the semiconductor industry. The observed trends are examined, and guidelines for applications are suggested. 

Growth per cycle for the most common HfO2 metal precursors as a function of deposition temperature. Except for the Hf[N(CH3)(C2H5)]4 precursor used in this work, the data have been extracted from other sources. (Reference for HfI4-O2 is wrong, should read ref. 28.)

Thursday, August 8, 2019

Atomic Layer Deposition of Emerging 2D Semiconductors, HfS2 and ZrS2, for Optoelectronics

Miika Mattinen from Prof. Mikko Ritala's group, University of Helsinki, reports the ALD growth of 2D HfS2 and ZrS2—the potential rivals of the hot favorite 2D semiconductors MoS2 and WSe2. 



Abstract: Semiconducting 2D materials are studied intensively because of their promising performance in diverse applications from electronics to energy storage and catalysis. Recently, HfS2 and ZrS2 have emerged as potential rivals for the commonly studied 2D semiconductors such as MoS2 and WSe2, but their use is hindered by the difficulty of producing continuous films. 

Herein, we report the first atomic layer deposition (ALD) processes for HfS2 and ZrS2 using HfCl4 and ZrCl4 with H2S as the precursors. We demonstrate the deposition of uniform and continuous films on a range of substrates with accurately controlled thicknesses ranging from a few monolayers to tens of nanometers. The use of semiconductor industry-compatible precursors and temperatures (approximately 400 °C) enables facile upscaling of the process. The deposited HfS2 and ZrS2 films are crystalline, smooth, and stoichiometric with oxygen as the main impurity. 


----------------------
By Abhishekkumar Thakur

Sunday, July 28, 2019

Strem offer hafnium metal alkylamide ALD precursors for emerging memory applications


Having been extensively studied over three decades for the replacement of silicon dioxide (SiO2) in conventional semiconductor fabrication, ALD Hafnium Oxide (HfO2) among other high-k dielectrics was finally adopted by Samsung in 2004 in high volume manufacturing at the 90 nm DRAM node as the high-k capacitor dielectric. The other DRAM companies followed, and later in 2007 Intel introduced ALD HfO2 at the 45 nm node as high-k gate dielectric. On account of its high dielectric constants (at least four times that of silicon dioxide) a thicker film of hafnium oxide can be used to achieve the same dielectric performance resulting from a thinner silicon dioxide layer without the associated high leakage current or even electron tunneling for ultra-thin dielectrics. High refractory oxides such as those of zirconium and hafnium also find uses in optical applications; as catalysts; and, because of their hardness and thermal stability, as protective coatings.


In recent years, hafnium oxide (as well as doped and oxygen-deficient hafnium oxide) has attracted additional interest as a possible candidate for resistive-switching memories (ReRAM) and CMOS-compatible ferroelectric field effect transistors and memory chips. A great example is Dresden based NaMLab and The Ferroelectric Memory Company (FMC) who are integrating HfO2 based ferroelectric transistor (FeFET) memory. The FeFET is a long-term contender for an ultra-fast, low-power, and non-volatile memory technology. In these devices, the information is stored as a polarization state of the gate dielectric and can be read non-destructively as a shift of the threshold voltage. The advantage of a FeFET memory compared to the Flash memory is its faster access times and much lower power consumption at high data rates.
Moreover, in the framework of a project together with GLOBALFOUNDRIES and Fraunhofer IPMS, a one-transistor (1T) FeFET eNVM was successfully implemented in a 28 nm gate-first super low power (28SLP) CMOS technology platform using only two additional structural masks. Since then, other companies and researchers have followed and the “ferroelectric HfO2 community” have met on an annual basis in Dresden at the NaMLab annual Novel high-k workshop for more than a decade now. The most recent trend is that HfO2 may enter a 2nd time in DRAM manufacturing, this time as a high-k gate oxide for the select transistor.
When grown using chemical vapor deposition (CVD) from β-diketonate precursors, alkoxide precursors, and chloride precursors, a relatively high (typically greater than 300 °C) deposition temperature is required, which results in rough films because of their high crystallinities. ALD based HfO2 films employing both chloride and iodide precursors arefeasible as low as 180 °C, but then typically exhibit high incorporation of chlorine and iodine impurities. As for the CVD processes mentioned above, the high temperatures needed to prevent this contamination result in the formation of highly crystalline films with apparent surface roughness. Etching of the growing oxide surface by the precursors themselves also causes additional surface roughening and non-conformality. The etching might also explain the difficulty in depositing a conformal coating on high-aspect-ratio structures using these halide precursors. 

To address these concerns, Professor Roy G. Gordon and members of his laboratory at Harvard, in the late 1990s and early 2000s, invented metal alkylamide precursors to create thin films of high-k dielectric materials (e.g., HfO2, ZrO2). They also filed patents for their innovation at the US Patent Office in 2000.

The metal alkylamide precursors (e.g., TEMAHf) produce less corrosive byproducts compared with halide precursors (e.g., HfCl4). The byproducts themselves neither etch the adsorbates nor cause additional surface roughness, which in turn affords good conformal coating on high aspect ratio structures. As a liquid precursor, they can be easily vaporized, unlike solid HfCl4, yielding significant growth-per-cycle (GPC) due to high transport efficiency. There is no chlorine contamination in the deposited HfO2 film, which leads to reduced interface charges, improved dielectric properties, and suppressed leakage current. Metal alkylamides also exhibit higher reactivity, which enables lower deposition temperature. In general terms, the hafnium alkylamide precursors are preferred for high aspect ratio applications like DRAM and 3D MIM Capacitors, while for planar logic and FinFET devices hafnium chloride is typically used.

Strem Chemicals, Inc., a leading fine chemicals supplier, headquartered in Newburyport, Massachusetts, USA, boasts a vast variety of metal alkylamide precursors for depositing Hf-based materials in different applications. The table below outlines three Hf-based metal alkylamide precursors from Strem’s catalog.

Please find here one of the well-cited publications on ALD of HfO2 from Prof. Gordon’s Harvard laboratory. This article from 2002 takes advantage of the above three Hf alkylamides and water in deep trench DRAM structures (from Infineon) to study the properties of the deposited oxide films by Hausman et al.

About Strem Chemicals: Strem is an employee-owned specialty chemicals supplier, manufacturing, and marketing high quality specialty chemicals for more than half-a-century. They provide custom synthesis, and FDA inspected current good manufacturing practice (cGMP) services to their clients from academia, industry and government R&D labs. What distinguishes Strem from other chemicals suppliers is their continued commitment to quality. This help Strem deliver precursors with, by and large, 99% metals purity including some metal precursors with even 99.9999% purity. Strem offers over 5,000 specialty products in the area of metals, inorganics, organometallics, and nanomaterials. Strem’s product range includes:

 
 ----------
Promotional blog written and researched by Abhishekkumar Thakur and Jonas Sundqvist, BALD Engineering AB