Friday, July 27, 2018

RASIRC low temperature ALD of silicon and metal nitrides

Silicon and metal nitrides are extensively used in the semiconductor industry in manufacturing of logic and memory chips that you will find in all smartphones, laptops, PCs and internet servers as well as any IoT device. Silicon nitride (SiN) is used as dielectric insulating layers, device layers, liners, spacers, etch hardmasks, etch stops, on the wafer backside to compensate wafer bow and many additional applications. Metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) have applications as electrodes in capacitors, high-k metal gate transistor stacks, hardmasks, metal diffusion barriers, and as liner/seed for metal contact deposition.

In particular, TiN has been shown to act as an efficient diffusion barrier to WF6 during W metal fill. Similarly, TaN is commonly utilized as a diffusion barrier to Cu on low-k insulators, as Cu can readily diffuse into insulators lowering device reliability.

Traditionally SiN has been deposited by PECVD or LPCVD but since the introduction of ALD in high volume manufacturing in 2004, many CVD processes have been replaced by ALD processes. However, in the case of thermal ALD it has proven difficult to find a silicon precursor that works adequately below 600 °C limiting use above transistor level.

Metal nitride applications that do not require low resistivity film use metal organic precursors. For example, TDMAT grown films are useful for low temperature backend processing where using metal-halide precursors, such as TiCl4, is prohibitive due to issues of metal corrosion and the need for high process temperature.

Metal halide precursors, plasmas and higher process temperatures are used to reduce film resistivity in both CVD and ALD processes. However, the general trend in semiconductor processing is to reduce the thermal budget. Therefore plasma CVD and ALD processes have been deployed.

Plasma enhanced processes have disadvantages vs thermal processes when it comes to two things in particular:
  • Relatively lower step coverage because the plasma species tend to recombine fairly fast as these travel down into deep and narrow structures 
  • Plasma damage – the plasma may damage the surface or device layer below as well as promote growth of interfacial layers
For these reasons the semiconductor industry has actively looked into alternative and more effective co-reactants to reduce temperature required to deposit high quality films. In recent years Prof. Winter and co-workers at Wayne State have presented a number of processes using novel co-reactants (LINK) and some have investigated using hydrazine or hydrazine derivatives to push down the thermal activation barrier to lower temperatures. However, any rocket scientist out there should know that hydrazine is pure rocket fuel and very dangerous to handle and therefore has a number of restrictions for import, logistics and fab safety requirements. To safely handle and facilitate hydrazine in high volume manufacturing has been a challenge taken on by RASIRC and they have proven their BRUTE® Hydrazine Technology (see details further down) for both SiN, TiN and TaN as showcased below.

Low temperature thermal ALD of silicon nitride

As mentioned above, PEALD of SiN is increasingly important to be able to grow high quality films below 400 °C but suffers from poor 3D conformality in extreme high aspect area structures and dense high surface area arrays.

RASIRC in collaboration with The University of Texas, Dallas has recently developed a low temperature thermal ALD process using the standard silicon precursor in CVD, HCDS and their new hydrazine formulation for a liquid source anhydrous hydrazine in a proprietary solvent. The technology delivers a high purity (less than 800 ppb water) into the carrier gas flow with a high vapor pressure of 12-14 Torr at R.T.. For more detail see “Titanium Nitride ALD using Ultra-high Purity Hydrazine at Low Temperature” presented at AVS 2017 in Orlando, Florida.

In a custom made ALD reactor the source was used to demonstrate thermal ALD at 250 to 400°C with high refractive index and a GPC in the range of 0.4 to 0.5 A/cycle. Further densification of the films by a novel densification treatment improved the refractive index from ~1.8 to above 1.9 (Figure 1).



Figure 1 – The ALD process window for thermal SiN ALD using HCDS and hydrazine

XPS analysis proved that the contamination level of chlorine was ~1% and oxygen in the range of 3-6%. The films were also N-rich in stoichiometry. Wet etch rate in diluted HF was as low as 0.3-0.5 nm/min and lower than PEALD SiN reference samples, indicating denser higher quality films.

Low temperature thermal ALD of titanium and tantalum nitride

In collaboration with University of California, San Diego and Applied Materials, RASIRC has also investigated thermal ALD of TaN and TiN using hydrazine as a reactive N-containing source. They have been able to show ultralow/low temperature growth for:
  • Down to 100 °C of TaN using tris(diethylamido)(tert-butylimido) tantalum (TBTDET). According to XPS nearly stoichiometric Ta3N5 films were deposited with below 10% O and 5% C incorporation (Figure 2).
  • Stoichiometric TiN films growth at 300 °C with tetrakis(dimethylamido)titanium (TDMAT). 
  • Uniform, highly conductive, nearly stoichiometric films of 0.44 nm RMS roughness were deposited using titanium tetrachloride (TiCl4) at temperatures ranging from 300-400ºC. Compared to NH3 grown films, N2H4 grown films showed lower resistivities with fewer impurities.


Figure 2 - TaNx deposition from TBTDET + N2H4. a) XPS of 100 cycles of TBTDET and N2H4 at 100ºC, 150ºC, and 300ºC. b) The Ta 4d XPS peaks after 6 pulses of TBTDET and after 15 TaNx cycles. The initial 6 pulses confirmed interfacial Si-O-Ta bond formation, while after 15 cycles an ~2eV shift is seen consistent with formation of Ta-N bonds. (c) 15 minutes of atomic H were enough to remove the carbon that was accumulating on the surface during deposition. (d) Ta 4p3/2 / N 1s region showing the N 1s component shifting and narrowing as a function of temperature.

Compared to NH3 grown films, XPS confirmed N2H4 grown films contained fewer O, C, and Cl impurities consistent with lower resistivities being observed with N2H4. The data is consistent with N2H4 serving as reducing agent and a good proton donor to Ta and Ti ligands.

BRUTE® Hydrazine Technology

BRUTE® Hydrazine provides a stable, reliable flow of anhydrous hydrazine gas from a liquid source in a sealed vaporizer. The liquid source combines hydrazine and a proprietary solvent for stability. Hydrazine gas is swept to process via the pressure gradient or by an optional carrier gas. The RASIRC BRUTE® Hydrazine Technology includes features the following (Figure 3):
  • New formulation, delivery method for ultra-dry hydrazine vapor
  • Liquid source: Anhydrous hydrazine + Proprietary solvent 
  • High purity hydrazine vapor: <800ppb water contamination 
  • Vapor pressure: 12-14 torr at R.T. 
  • Higher reactivity than NH3
Figure 3 - Novel delivery system for ultra-dry hydrazine vapor (left) and Vapor Pressure of BRUTE Hydrazine (right)

Meet RASIRC!

RASIRC are frequent active participants at ALD conferences and workshops. The next opportunity to meet them face to face is at ALD 2018 in Incheon South Korea. The company is exhibiting, presenting and has a poster session. In addition, Chief Technology Officer Dan Alvarez will be moderating a session on ALD Fundamentals. RASIRC CEO Jeff Spiegelman says, “We look forward to continuing our participation and support of the ALD community.”

















No comments:

Post a Comment