Monday, April 16, 2018

NCD Contracted with TIANMA to supply 6G half ALD equipment for flexible OLED encapsulation

NCD recently signed a contract with TIANMA, one of Chinese leading display manufacturers, to provide 6G half ALD equipment for encapsulation of flexible OLEDs in the next six months to Wuhan TIANMA. This is a follow-up order to purchase NCD's 6G half (1500mmX925mm) ALD equipment, which is based on the superior performance evaluation of earlier installed 5.5G class ALD equipment in Shanghai TIANMA.

The performance of ALD encapsulation by the existing equipment showed excellent film uniformity as well as excellent moisture permeability and step coverage, compared to that by PECVD, and was qualified by the customer's flexible OLED products.

This proves that NCD's ALD core technology and the reliability of equipment have been recognized by its customer.
 
It is challenging to realize device reliability using current encapsulation technology deposited by PECVD for the next generation flexible OLED devices, since it requires bending, folding and rolling, ALD technology will be an essential choice for future flexible OLED products with its excellence and competitiveness.

NCD will make the Lucida GD series become the standard ALD equipment for all flexible OLED encapsulation based on these needs, and continue to grow into the world's most professional ALD Company with new challenges and developments. 
 
 
Cluster system for Lucida™ GD series

Saturday, April 14, 2018

The Material Discovery Funnel: A Six-Step Process for Advanced Material Development

The forward march of technology relies on continuous improvement of critical components such as semiconductors, batteries, fuel cells, and the like. In time, every component runs up against performance constraints established by fundamental physics and chemistry. Overcoming those restrictions requires the development of unique advanced materials that circumvent such limitations. Discovering and optimizing these types of new materials is difficult because the range of variables creates an enormous design space that is difficult to explore efficiently. Combinatorial deposition refers to a process of creating many advanced material candidates on a single substrate without removing that substrate from the chamber during the deposition process. The technique is not new in concept, but the remarkable advancements in deposition chamber capabilities and controls are making combinatorial deposition easier to implement. We refer to the process of developing advanced materials using combinatorial deposition as the "material discovery funnel."
 
The material discovery funnel - PVD Products
 
As described by PVD Products this is a six step process. You can visit their Blog and get the download link to the excellent white paper!

Source: PVD Products Blog LINK

Thursday, April 12, 2018

Biologically inspired ALD:ed nanomembrane purges coal-fired smoke of greenhouse gases

(Nanowerk News) A biologically inspired membrane intended to cleanse carbon dioxide almost completely from the smoke of coal-fired power plants has been developed by scientists at Sandia National Laboratories and the University of New Mexico. The patented work, reported recently in Nature Communications ("Ultra-thin enzymatic liquid membrane for CO22 separation and capture"), has interested power and energy companies that would like to significantly and inexpensively reduce emissions of carbon dioxide, one of the most widespread greenhouse gases, and explore other possible uses of the invention. 



Enzymatic liquid membrane design and mechanism of CO2 capture and separation. a The membrane is fabricated by formation of ~1-µm-deep oriented arrays of 8 nm diameter cylindrical silica [SiO2] mesopores within the larger 50–150-nm pore channels of a 50-μm-thick porous alumina [Al2O3] Whatman© Anodisc support. b Using atomic layer deposition and oxygen plasma processing (described in text and Fig. 4) the silica mesopores are engineered to be hydrophobic (trimethylsilyl (Si(CH3)3) surface groups) except for an 18-nm-deep region at the pore surface, which is hydrophilic (≡Si-OH surface groups). Via capillary condensation, CA enzymes and water spontaneously fill the hydrophilic mesopores to form an array of nano-stabilized CA enzymes with an effective CA concentration >10× of that achievable in solution. CA catalyzes the capture and dissolution of CO2 as carbonic acid (HCO3) moieties at the upstream surface and regeneration of CO2 at the downstream surface (see Fig. 1c). The high concentration of CA and short diffusion path length maximizes capture efficiency and flux (Nature Communications article LINK)

Full article: LINK

Beneq C2 wins the High-Volume Manufacturing Award in the CS International Conference

 
Beneq C2 wins the High-Volume Manufacturing Award in the CS International Conference. Beneq C2, the automated wafer ALD solution in Beneq’s cluster-compatible equipment portfolio, is the winner in the High-Volume Manufacturing category of the CS Industry Awards, where the industry experts have been able to vote for their favorites. 
 
Dr Mikko Söderlund receives CS Industry Award for Beneq

Beneq's Mikko Söderlund with the High-Volume Manufacturing Award in the CS Award ceremony.
 
Source : Beneq Blog

Tuning PEALD film propperties by substrate biasing for planar and 3D applications

After having worked with dry etch people etching deep into silicon and advanced HKMG stack and other challenging and amazing things like longitudinal splitting of nanowires my experience is that they have a totally different level of insight to plasma processing technology than me the typical ALD guy. Substrate biasing is such a technology that we the usual suspects in ALD have limited insights to. You can almost hear how they laugh at us loud when we turn on our funky PEALD chambers and let the wafer float in an undefined potential state - it´s like taking a shower up in the international space station without any special precautions.

The TU Eindhoven Plasma Pro´s and their friends from Oxford Instruments, prove it time after time buy pushing out these great publications explaining to us who thinks plasmas are some sort of voodoo, They show us how it all really works in PEALD. Here is one of the most recent ones and next (April 19th) you may tune in to the Oxford Instruments Webinar and listen to Harm Knoops telling us how it really works (LINK).

Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies

Tahsin Faraz, Harm C. M. Knoops, Marcel A. Verheijen, Cristian A. A. van Helvoirt, Saurabh Karwal, Akhil Sharma, Vivek Beladiya, Adriana Szeghalmi, Dennis M. Hausmann, Jon Henri, Mariadriana Creatore, and Wilhelmus M. M. Kessels

ACS Appl. Mater. Interfaces, Article ASAP
Publication Date (Web): March 19, 2018

Merck 350th Anniversary Research Grants

On the occasion of its 350th anniversary, Merck is offering a series of research grants to stimulate innovative research in challenging areas of future importance. One of the topics is surface chemistry and atomic layer processes, which may be of interest to HERALD members. Merck is looking for innovative research proposals from scientists worldwide and intends to provide several research grants of up to €350,000 per year for 3 years in the various topic areas with the option of extension or expansion. A detailed description of the challenges and the application process is available at:

350researchgrants.merckgroup.com

Online application deadline: August 15th, 2018.

COST Action MP1402 - HERALD
Hooking together European research in Atomic Layer Deposition
 
 




Wednesday, April 11, 2018

RASIRC Presents Alternative Method for Aperture Oxidation in VCSELs

San Diego, Calif – April 11, 2018–RASIRC announced today that the company will present at VCSEL Day 2018, held April 12-13, 2018 in Ulm, Germany. Jeffrey Spiegelman, RASIRC President and Founder, will present an alternative method for aperture oxidation. The annual event provides a European forum to discuss the state of the art and latest research. “Wafer to wafer and batch to batch oxidation uniformity are difficult challenges in VCSEL manufacturing,” said Mr. Spiegelman. “The use of an additional and stronger oxidant such as hydrogen peroxide gas with or without water vapor is an attractive approach. This can provide an additional control parameter in order to optimize uniformity for higher yields.” 

 
 
RASIRC Peroxidizer® for High Concentration H2O2 Gas (left) and RASIRC BRUTE™ Peroxide for Ultra-Dry H2O2 Gas (right) please find more information here (LINK)
VCSELs are specialized laser-emitting semiconductors that are used in a wide variety of applications. VCSEL yield is directly related to the control during oxidation of aperture structure. Researchers have been challenged to identify additional methods to ensure uniformity. RASIRC has developed an innovative alternative that can provide better control of oxidation rate by adjustments in hydrogen peroxide concentration as well as lower process temperatures.

RASIRC’s Peroxidizer® and Hydrogen Peroxide Steamer (HPS) products provide high volumes of reactive H2O2/H2O mixtures for high throughput oxidation processes. These reactive gas generators are ideal for batch furnaces by providing the ability to vary concentration and flow rate, with or without the use of a carrier gas. Delivery into sub-atmospheric conditions is an additional feature.

RASIRC products also include the RainMaker Humidification System (RHS) that generates high precision water vapor for oxidation applications. This product has already proven to be useful in VCSEL manufacturing.

About RASIRC

RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box—converting common liquid chemistries into safe and reliable gas flow for most processes. First to generate ultra-high purity (UHP) steam from de-ionized water, RASIRC technology can now also deliver hydrogen peroxide gas and hydrazine gas in controlled, repeatable concentrations. RASIRC gas delivery systems, humidifiers, and closed loop humidification systems are critical for many applications in semiconductor, photovoltaic, pharmaceutical, medical, biological, fuel cell, and power industries. Call 858-259-1220, email info@rasirc.com or visit http://www.rasirc.com.

Contacts:
RASIRC
Jeffrey Spiegelman
Phone: 858-259-1220
E-mail: jeff@rasirc.com

Tuesday, April 10, 2018

AVS Short Course Webinar on Atomic Layer Etching (ALE) June 13,2018


Atomic Layer Etching (ALE):
June 13,2018
REGISTRATION DEADLINE: June 11, 2018
The AVS Short Course Webinar focusing on Atomic Layer Etching (ALE) will be held on Wednesday, June 13, 2018 from 1:00-5:00 p.m (EDT). This webinar will be taught by Steven M. George, Professor in the Dept. of Chemistry & Biochemistry and Dept. of Mechanical Engineering, University of Colorado at Boulder. This AVS Webinar on ALE will provide the training required to understand plasma-assisted ALE and thermal ALE. The webinar will explain the process strategies for plasma-assisted ALE and thermal ALE. Important ALE approaches for many materials including Si, SiO2, Al2O3, TiN and W will be described that are useful for advanced semiconductor processing.

Who should attend: Scientists, engineers and technicians who use or plan to use atomic layer etching for atomic scale fabrication.

Syllabus: Learn More

Date: June 13, 2018

Time: 1:00-5:00 p.m. (EDT)

Cost: $200/person

REGISTRATION DEADLINE: June 11, 2018


Questions: E-mail heather@avs.org or call 530-896-0477.

Sunday, April 8, 2018

ALD on Porous Materials: Problems with Conventional Approaches to Catalyst and Fuel Cell Electrode Preparation

The last two years I have been conducting some ALD and CVD on powder and started to follow that literature segment. Here is a most recent paper (Open Access) with a lot of practical information for all of you hands-on ALD people to test in the lab (or the fab God forbid...).

Atomic Layer Deposition on Porous Materials: Problems with Conventional Approaches to Catalyst and Fuel Cell Electrode Preparation


Tzia Ming Onn 1, Rainer Küngas 2, Paolo Fornasiero 3, Kevin Huang 4 and Raymond J. Gorte
Inorganics 2018, 6(1), 34; doi:10.3390/inorganics6010034

Atomic layer deposition (ALD) offers exciting possibilities for controlling the structure and composition of surfaces on the atomic scale in heterogeneous catalysts and solid oxide fuel cell (SOFC) electrodes. However, while ALD procedures and equipment are well developed for applications involving flat surfaces, the conditions required for ALD in porous materials with a large surface area need to be very different. The materials (e.g., rare earths and other functional oxides) that are of interest for catalytic applications will also be different. For flat surfaces, rapid cycling, enabled by high carrier-gas flow rates, is necessary in order to rapidly grow thicker films. By contrast, ALD films in porous materials rarely need to be more than 1 nm thick. The elimination of diffusion gradients, efficient use of precursors, and ligand removal with less reactive precursors are the major factors that need to be controlled. In this review, criteria will be outlined for the successful use of ALD in porous materials. Examples of opportunities for using ALD to modify heterogeneous catalysts and SOFC electrodes will be given. View Full-Text 


Saturday, April 7, 2018

Plasma-Therm acquires KOBUS and F.A.S.T ALD & CVD hybride technology

KOBUS, an innovative French company based in Grenoble that has brought a new faster ALD-like technology to production level  has been acquired by US Plasma-Therm. The technology is called F.A.S.T, an alternative to ALD where thick and conformal films are required like e.g. TSV and 3D chip integration or MEMS. F.A.S.T. stands for “Fast Atomic Sequential Technology and is enabled by a innovative CVD reactor design combined with fast pulsing capability. KOBUS has focused on solutions for 3D integration challenges, which fits good to the Plasma-Therms etch and PECVD product portfolio. Plasma-Therm also recently acquired the small Swedish plasma etch/dep OEM Advanced Vacuum who target back-end applications and reverse engineering etchers.

ST. PETERSBURG, Florida (April 4, 2018) — Plasma-Therm today announced that it has acquired KOBUS, an innovative plasma deposition company, which enables F.A.S.T®, a valuable alternative to ALD where thick and conformal films are required.

This unique deposition method is at the crossroads of ALD and CVD: F.A.S.T. stands for “Fast Atomic .Sequential Technology.” F.A.S.T. is enabled by proprietary CVD reactor design combined with pulsing capability, and while capable of depositing in traditional ALD mode, it is optimal for thick and conformal layer deposition and offers new solutions for 3D integration challenges.
Production of the 3rd generation of F.A.S.T. process modules at KOBUS (wwww.kobus-tech.com)

KOBUS offers a unique portfolio of equipment for both mature and advanced materials deposition, which merges well with Plasma-Therm’s operation, expanding the plasma-based deposition and etch suite of products for all silicon and compound semiconductor emerging applications.

This acquisition will allow Plasma-Therm to establish a solid base in Europe and conduct R&D development in the Grenoble “Silicon Valley,” a region fueled with R&D, startups and large semiconductor corporations.

About Plasma-Therm
Plasma-Therm LLC is a manufacturer of leading plasma etch, deposition, and advanced packaging equipment for specialty semiconductor and nanotechnology markets. Plasma-Therm's plasma-processing and advanced-packaging solutions are used in research, pilot manufacturing, and volume production of wireless, photonics, solid state lighting, MEMS/NEMS, data storage and other devices. Learn more at http://www.plasmatherm.com

Thursday, April 5, 2018

FREE webinar: Optimising ALD high-k oxides for novel applications

FREE webinar: Optimising ALD high-k oxides for novel applications, 19 April 2018, 15:50 UTC [LINK]


Dr Harm Knoops and special guest speaker, Dr Uwe Schroeder, Deputy Scientific Director from NaMLab GmbH Dresden, Germany, will talk you through the ALD of ferroelectric HfO2 for novel memory applications and the tuning properties of TiO2 and HfO2 by substrate biasing during Plasma ALD.

The webinar will comprise of two talks, with a Q&A session at the end. Register here


Dr.ir. Knoops is the Atomic Scale Segment Specialist  for Oxford Instruments Plasma Technology and holds a part-time researcher position at the Eindhoven University of Technology. His work covers the fields of (plasma-based) synthesis of thin films, advanced diagnostics and understanding and developing plasma ALD and similar techniques. His main goals are to improve and advance ALD processes and applications for Oxford Instruments and its customers. He has authored and co-authored more than 30 technical papers in peer-reviewed journals.  

Dr Uwe Schroeder has held the Deputy Scientific Director position at NaMLab GmbH, Dresden, Germany since 2009. His main research topics are material properties of ferroelectric hafnium oxide and the integration of the material into future devices.

Prior to joining NaMLab, Schroeder was in a Senior Staff Scientist position at Qimonda, previously known as Infineon Technologies (Memory Division) and Siemens Semiconductor before.  At Infineon’s Memory Development Center in Dresden, his research included work on high k dielectric and its integration into DRAM capacitors as a project manager. During this work the so far unknown ferroelectric properties of doped HfO2 based dielectrics were found. He focused on a detailed understanding of these new material properties and their integration into memory devices. 

Schroeder received a Master degree in Physics and a PhD degree in Physical Chemistry field from University of Bonn, Germany including a research visit at UC California, Berkeley and worked at University of Chicago as a post-doctoral researcher.

Wednesday, April 4, 2018

Call for Papers ALD for perovskite-based devices - Symposium C at the E-MRS Fall Meeting 2018

Atomic layer deposition (ALD) for perovskite-based devices - a hot topic to be covered by the Symposium C at the E-MRS Fall Meeting 2018

https://www.european-mrs.com/perovskite-solar-cells-surface-interface-and-materials-aspects-emrs

Atomic layer deposition (ALD) for perovskite-based devices - a hot topic to be covered by the Symposium C at the E-MRS Fall Meeting 2018

ALD of ZrO2 from zirconium tetraiodide and ozone

Please use the free month at ECS and download this excellent paper by Kaupo Kukli et al on probably the best zirconium ALD precursor in the world - behold the Zirconium tetraiodide (ZrI4)! Previously ZrO2 ALD employing ZrI4 has been proven using H2O, H2O/H2O2 and O2 so now the reies is almost compelte - just O2+ missing.

Wikipedia states "The compound was once prominent as an intermediate in the purification of zirconium metal." Refereing to the van Arkel Process, taht is Pyrolysis of zirconium tetraiodide gas by contact of hot wire and that was the first industrial process for the commercial production of pure ductile metallic zirconium. The process was developed by Anton Eduard van Arkel and Jan Hendrik de Boer in 1925, both Dutch chemists. By many this process is a chemical transport process and it relies on two basic chemical reactiosn at elevated temperatures:
M + 2I2 (>400 °C) → MI4
MI4 (1700 °C) → M + 2I2
... and that´s about all the information you need to go ahead and move on to HVM with this one ;-)

Atomic Layer Deposition of Zirconium Dioxide from Zirconium Tetraiodide and Ozone
Kaupo Kukli, Marianna Kemell, Kenichiro Mizohata, Marko Vehkamäki, Kristjan Kalam, Helena Castán, Salvador Dueñas, Joosep Link, Raivo Stern, Mikko Ritala, and Markku Leskelä
 
Abstract : ZrO2 thin films were grown by atomic layer deposition using alternate surface reactions of ZrI4 and O3 precursors in the temperature range of 250–400°C to the thickness in the range of 5–100 nm. The films were dense, continuous, and consisted of mixed monoclinic and metastable polymorphs with significant contribution from cubic ZrO2. The ZrO2 films possessed permittivity up to 19. The capacitor structures based on these films also demonstrated tendency to resistive switching behavior. The ZrO2 films exhibited saturative magnetization under external magnetic fields.

ALD Webinar - Introduction to Beneq Coating Services

ALD Automation Engineer at Picosun

Picosun is a leading supplier of high quality Atomic Layer Deposition (ALD) thin film coating solutions for industries and R&D. Due to the growing interest towards ALD throughout the global industrial scene, we are constantly seeking new people to enforce our highly motivated and skillful organization. We offer you a challenging and versatile job in a dynamic, fast developing high-tech company with a supportive team and innovative spirit. 
In an agile and growing company, you will have a possibility to influence the tools, methods and ways to operate in your field expertise.

We are looking for an addition to our automation team. Previous automation related working experience is not necessity, but eager to learn is essential.

The job includes (the final focus is based on your skill and preference):

- Automation and software design for new and existing ALD tools
- Automation and software product management
- Support R&D and Customer Support in automation and software related issues
- On site automation and software installation in projects with high level of customization
- Automation and software product development and life-cycle management

We expect you to have:

- Education in automation engineering or similar field
- Understanding of IEC 61131 programming standards
- Familiar with PLCs (CodeSys/ TwinCAT3 / Omron or similar)
- Familiar with SCADA systems (TwinCAT3 HMI / InTouch or similar)
- Independent, proactive and versatile approach for development and problem solving
- Mindset for continuous learning, development and high quality
- Fluent communication skills in English
- Good teamwork and co-operation skills
- Willingness to travel (up to 10 weeks per year depending on ongoing projects)

Beneficial features:

- Experience with fieldbus technologies (EtherCAT, Ethernet/IP, DeviceNet)
- Object oriented programming skills
- Higher level software development skills (JavaScript / C# / SQL / HTML)
- Interest in user interface design
- Experience in semiconductor industry
- Experience/understanding of cleanroom environments

The place of work is at our production facilities and office in Masala. It is easily accessible via Kehä III and Route 51 (Länsiväylä) by car or by local train via Masala station.

Please send your application and CV to info@picosun.com. For heading/subject please write Automation Engineer – Picosun Oy.

For additional information, you may contact Teemu Törmänen, teemu.tormanen@picosun.com, +358 40 577 9973.

Monday, April 2, 2018

ECS will host its second Free the Science Week April 2-8, 2018

Get ready to download!
 
Download Content for Free!
Vote
ECS will host its second Free the Science Week April 2-8, 2018, allowing free access to the research content in the ECS Digital Library including the Journal of The Electrochemical Society and the ECS Journal of Solid State Science and Technology.
That means you'll get access to over 141,000 articles and abstracts | mark your calendar
PS: Learn more about Free the Science.

Sunday, April 1, 2018

ALD research and development continuous growth!

There are a number of ways how to track R&D in a technological field besides tracking the number of publications. In the case of ALD you can track the number of delegates visiting the annual International ALD conference. ALD 2016 Ireland had 810 delegates and AVS ALD 2017 in Denver had 950 delegates as far as I know. Since the International ALD conference today has >50% industry delegates this is an indication of a growth in R&D interest from both academics and industry.

You can also look at the annual revenue in sales from ALD wafer processing equipment and here information can be taken from regular updates from Gartner, VLSI Research and the leading ALD OEMS like ASM International who report this in their financial reports. If you plot all those numbers using full range on y-axis into a plot (as a I have done below for 2016 numbers) you can clearly see that ALD R&D represented by number of publications and visitors to the International ALD conference and systems sales are growing hand in hand from 2005 until 2016. You can also see that 2009 was a bad year and it took two years for the ALD geeks to recover fully and get back to the ALD conference in numbers. What you can also see is that the ALD System sales went down already one year before (2008) the big crisis...

2016 numbers and forecasts (BALD Engineering AB)

Most of the ALD OEMs have published annual reports now and it seem that 2017 was a stellar year for ALD systems sales.

Another way to track ALD R&D is to look at patent filing. Either you can come up with a set of key words that you think will appear in ALD patents or you can dig into the patent classes and make it a bit easier for you since to look for trends you don´t necessary need all patents it may just be enough to look for a couple of classes where ALD people are filing.

Last year I compiled this graph below showing ALD precursor patent applications and families and two of the most important events for ALD high-k in high volume manufacturing (HVM) on 300mm wafers using ALD:
  • 2004 : ALD of Al2O3/HfO2 high-k node dielectric by Samsung 90 nm DRAM technology
  • 2007 : ALD of HfO2 gate dielectric by Intel for their 45 nm Logic High-k/Metal Gate stack
(Until all presentations starts with the DRAM reference as 3 years before Logic, I will keep on repeating this fact. Remember "Memory before Logic")

Since it can take quite some time for patents applications to form actual global families it is more intriguing to track the number of applications. So ta da! it follows the ALD conference delegate number quite good (green curve above).

Summer 2017 patent search  (BALD Engineering AB)

So how was 2017 and how many ALD patents are being filed so far 2018 - check it out below and for those of you that have hard time to sleep you can compile this plot on a daily basis by clicking in this LINK. Please note that this graph only contains patent from the CPC class C23C16/45525 and that there are other classes as well that need to be included for a full study. Anyhow it is a good one since it states explicitly Atomic Layer Deposition as coating method.  So keep track on the last data point that today is 140 and if it will reach above 671 by the end of the year or not and before that - how many delegates did go to AVS ALD 2018 in Incheon Korea in July!

2018-04-01 patent search (Patbase.com : LINK)

Just recently I met with Prof. Mikko Ritala in Dresden for the EFDS ALD for Industry and I told him that I have a model to predict the number of delegates for ALD Conference 2024 in Helsinki Finland so at this point my recommendation is to book one of these:


ALD yields large crystalline 2D MoS2 thin films

MRS Bulletin reports: Sheets of molybdenum disulfide (MoS2) just a few atoms thick hold promise for high-performance, flexible electronics as well as optical applications. But one obstacle the two-dimensional (2D) material faces is the lack of an efficient method to make it in large quantities. Researchers at Argonne National Laboratory have now demonstrated that the atomic layer deposition method could be used to make uniform, crystalline MoS2 thin films as large as a standard 300 mm silicon wafer. 

Full article : LINK 
JVSTA Journal article : LINK
ALD Process: molybdenum hexafluoride (MoF6) and hydrogen sulfide at 200 °C