Showing posts with label Metal. Show all posts
Showing posts with label Metal. Show all posts

Monday, June 25, 2018

Atomic Layer Deposition of platinum thin films - current and future applications



Strem Chemicals is a well-established (since 1964) supplier of ALD and CVD precursors for both R&D and industrial applications. Many of their compounds are also available in electronic grade suitable for semiconductor applications. The full range of their ALD and CVD precursors can be found in their famous catalog available as a hard copy or on line [LINK]. Amongst the wide range of precursors, the platinum precursors and especially the (trimethyl)methyl-cyclopentadienylplatinum(IV) - MeCpPtMe3 has proven popular for a wide range of ALD and CVD applications.

Platinum and platinum-rich alloys are naturally occurring and have been known for a long time since it is often found as native platinum. It occurs naturally in the sands of rivers in South America and it was first used by pre-Columbian natives to produce artifacts. Later in 16th century the Spaniards named the metal "platina," or little silver, when they first encountered it in Colombia.  They regarded platinum as an unwanted impurity in the silver they were mining and it was not until 1748 that platinum was properly reported by Antonio de Ulloa y de la Torre-Giral, a Spanish general of the navy, explorer, scientist, author, astronomer and colonial administrator.

Since the platinum has become known and used because of the outstanding catalytic properties, which it has in common with the other of the six platinum group metals (PGM) – iridium, osmium, palladium, platinum, rhodium, and ruthenium.  In addition, platinum's wear and tarnish resistance characteristics are well suited for making fine jewelry.  Other distinctive properties include:

  • high resistance to chemical attack
  • excellent high-temperature characteristics
  • stable electrical properties.

Because of all these extraordinary properties the PGMs have been exploited for a wide range of industrial applications.   Platinum, platinum alloys, and iridium are used as crucible materials for the growth of single crystals, especially oxides.  The chemical industry uses a significant amount of either platinum or a platinum-rhodium alloy catalyst to catalyze the partial oxidation of ammonia to yield nitric oxide, which is the raw material for fertilizers, explosives, and nitric acid.   

In recent years, a number of PGMs have become important as catalysts in synthetic organic chemistry.  Platinum supported catalysts are used in the refining of crude oil, reforming, and other processes used in the production of high-octane gasoline and aromatic compounds for the petrochemical industry.  Since 1979, the automotive industry has emerged as the number one consumer of PGMs.  Palladium, platinum, and rhodium have been used as oxidation catalyst in catalytic converters to treat automobile exhaust emissions.  A wide range of PGM alloy compositions are used in low-voltage and low-energy contacts, thick- and thin-film circuits, thermocouples and furnace components, and electrodes.

It was not until the early 2000 that the platinum and the other PGMs became available as a ALD processes and here below is a summary of the most important fundamental discoveries of platinum ALD.

Thermal ALD of high quality platinum films

It all started with thermal ALD of platinum and ruthenium in Helsinki Finland at the famous Laboratory for Inorganic Chemistry headed by Prof. Markku Leskelä and Prof. Mikko Ritala. Here it was found that high quality platinum films can be grown by thermal ALD from MeCpPtMe3. According to the first publications by Titta Aaltonen (summarized in her PhD Thesis University of Helsinki: LINK) the films had strong (111) orientation even down to the lowest growth temperatures. Except for discovering the secrets of thermal ALD of noble metals (Ru, Ir Pt, Pd) Titta Aaltonen made groundbreaking studies of their ALD  growth mechanism with O2 as the co-reactant. At first it may seem strange that O2, or in her case also laboratory air or pressured air, could be used to grow high quality noble metal films. Titta Aaltonen found that adsorbed oxygen atoms react with the ligands of the noble metal precursor during the metal precursor pulse. Unreacted ligand species that remained on the surface after the metal precursor pulse react with oxygen during the following oxygen pulse. The main reaction by-products detected during the both reaction steps were water and carbon dioxide. For detailed studies of the ruthenium process using RuCp2 it has been concluded that active oxygen that dissolves in the upper most monolayers of the growing noble metal film may be behind the nucleation and growth mechanism of the next “ALD monolayer”.

The growth rates of the platinum films grown at 300 °C from MeCpPtMe3 was reported at about 0.5 Å/cycle both when air and pure oxygen were used as oxygen sources and a 50-nm film grown at 300 °C had a resistivity of 13 μΩcm, which is close to bulk value for platinum. It was also found that the difference between air and O2 co-reactant was in how the films adhered to the substrate. The films grown with air as the oxygen source did not pass the famous scotch tape test, while the films grown with pure oxygen passed the tape test.

Besides having such a beautiful ALD mechanism with such a simple co-reactant as air or O2, one additional very big advantage with the MeCpPtMe3 precursor is that can be vaporized at room temperature, just slightly below its melting point of 30 °C since the vapor pressure of MeCpPtMe3 at room temperature is high enough for delivery into an ALD process chamber. If you need a bit more precursor flow for larger batch type reactors or applications with relying on high surface area you can melt the precursor in a standard stainless steel ampule or bubbler with carrier gas dip tube to enhance the flow further. 




A hook up of  MeCpPtMe3 precursor  supplied in a Strem Swagelock ALD/CVD cylinder via a standard Swagelock ALD-valve as close as possible to a thermal horizontal low pressure ALD/CVD reactor (at Fraunhofer IKTS, Dresden, Germany, LINK) to save valuable platinum precursor (LINK) In order to enhance the precursor flow the installation can be wrapped with heater tape and heated to 30-50 °C.

Plasma ALD of platinum films

Some years later, Harm Knoops (now TU Eindhoven/Oxford Instruments) and co-workers published extensive results in a benchmarking study in 2009 [LINK] using MeCpPtMe3 precursor in a plasma ALD reactor with a remote ICP O2 Plasma. Here they proved that by the plasma enhanced ALD process (PEALD), the growth temperature could be reduced considerably to as low as 100 °C for both platinum metal and platinum oxide film growth and it was possible to switch between the two growth modes by adding a H2 step to grow metallic films. More recently, the same group reported platinum ALD at room temperature on polymer, textile, and paper substrates [LINK]. By tuning the dosing of MeCpPtMe3, O2 plasma exposure, and H2 gas or H2 plasma exposure high-quality platinum films with a resistivity of 18–24 μΩ cm were obtained.

Growth of platinum nanoparticles by ALD

Most recently Prof. Ruud van Ommen (TU Delft) published their detailed study [LINK] on how to control and grow platinum nanoparticles by ALD, again using the MeCpPtMe3 precursor.
They showed that the nanoparticle aggregation takes place during the oxygen half-reaction and that the mobility of the nanoparticles exhibits a size- and temperature-dependent scaling and that ALD-like precision over the nanoparticle size requires low deposition temperatures (< 100 °C).

Industrial applications for platinum ALD

Since early 2000 platinum ALD has been considered in parallel to ruthenium and evaluated multiple times by academia and industry for the use in a number of microelectronic applications including:

  • Electrodes for DRAM high-k capacitors
  • Transistor Source/Drain contacts with nickel Ni(Pt)Si
  • DRAM buried Word Lines and Bit Lines
  • Local interconnects as Cu seed layer or complete fill replacing tungsten

The semiconductor industry is very sensitive for raw material pricing and therefore introduction of platinum so far has mainly been using PVD in the case of Ni(Pt)Si source drain contact and for the other applications mentioned above there has been no reports of high volume manufacturing. Meanwhile, ruthenium on the other hand had have some success for hard disk reader heads and is now considered for local interconnects for technologies at 5 nm or below.

One of the biggest industrial applications for the MeCpPtMe3 precursor today is for E-beam direct write repair of photo lithographic masks for both Immersion and EUV lithography and making direct chip level contacts for electrical characterization in FIB-SEM.  

Current research and development on using platinum ALD or CVD as deposition method focuses on:
  • Nanobatteries using platinum contacts and electrodes
  • Supercapacitors using platinum electrodes
  • Nanoparticle catalysis
  • Core shell nanoparticles (nanoparticles covered by an ultra-thin platinum layer)
  • As contacts to III/V nanowire and 2D materials devices
  • Electrodes and contacts in printed flexible electronics
  • 3D Nanoprinting via laser-assisted electron beam induced deposition
The main issue to overcome for any successful industrial scale up of platinum is to minimize the use of bulk platinum and use ultra-thin layers and if bulk material is need use either substrates with a very large surface or coated low cost particles. Eventually, for all applications, platinum being a noble metal all of the by-products of precursor or coated parts has to be recaptured and recycled. 

In the case of automotive catalyst support such PGM recycling plants are operational since long time (e.g. operated by BASF and Umicore). For the ruthenium introduction in the semiconductor device manufacturing, several companies have reported development of recapture and recycling methods (e.g. Praxair, Tokyo Electron and Tanaka) and we can assume that these can also be adapted for platinum precursor recapture and recycling. Finally, to put things in perspective, the USGS reported that about 110,000 kilograms of platinum, palladium, and rhodium was recovered globally from new and old scrap in 2017 and they estimate the world resources of PGMs to a total more than 100 million kilograms. The largest reserves are in the Bushveld Complex in South Africa.

References

ALD of platinum from MeCpPtMe3 and Air and the ALD nobel metal / oxygen reaction mechanism: T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä, Reaction Mechanism Studies on Atomic Layer Deposition of Ruthenium and Platinum, Electrochem. Solid-State Lett., 6 (2003) C130–C133. [LINK]
ALD of platinum from MeCpPtMe3 and O2 : T. Aaltonen, M. Ritala, Y.-L. Tung, Y. Chi, K. Arstila, K. Meinander, and M. Leskelä, Atomic Layer Deposition of Noble Metals: Exploration of the Low Limit of the Deposition Temperature, J. Mater. Res., 19 (2004) 3353–3358. [LINK]
PEALD and thermal ALD of platinum films from MeCpPtMe3 :  H. C. M. Knoopsa, A. J. M. Mackus, M. E. Dondersa, M. C. M. van de Sanden, P. H. L. Notten, and W. M. M. Kessels.
PEALD of platinum at room temperature : A. J. M. Mackus, D. Garcia-Alonso, H. C. M. Knoops, A. A. Bol, and W. M. M. Kessels, Room-Temperature Atomic Layer Deposition of Platinum, Chem. Mater., 2013, 25 (9), pp 1769–1774 [LINK]
Platinum nanoparticle ALD growth : F. Grillo, H. Van Bui, J. A. Moulijn, M. T. Kreutzer, and J. R. van Ommen, Understanding and Controlling the Aggregative Growth of Platinum Nanoparticles in Atomic Layer Deposition: An Avenue to Size Selection, J. Phys. Chem. Lett., 2017, 8 (5), pp 975–983 [LINK]
Facts about PGMs : Platinum-Group Metals Statistics and Information (Platinum, Palladium, Rhodium, Ruthenium, Osmium, and Iridium), U.S. Department of the Interior, U.S. Geological Survey [LINK]
MeCpPtMe3 product information and ordering from Strem Chemicals (Item #: 78-1350):

 
Product Description: (Trimethyl)methylcyclopentadienylplatinum(IV), 99%
CAS #: 94442-22-5
Safety Data Sheet: [LINK]


Tuesday, March 13, 2018

Thermal ALD of aluminum metal at low temperature

Aluminum metal is important in semiconductor devices and as a metal itself in metallization and as an alloy in e.g. TiAl metal gates or TiAlN electrodes. Prof. Winter and his team at Wayne State have previously published new paths to thermal ALD of titanium, here they published their recent achievement for thermal ALD of aluminum!

Atomic Layer Deposition of Aluminum Metal Films Using a Thermally Stable Aluminum Hydride Reducing Agent

Kyle J Blakeney and Charles H. Winter
Chem. Mater., Just Accepted Manuscript

The thermal atomic layer deposition of aluminum metal films at temperatures as low as 100 °C is described using AlCl3 and a new aluminum dihydride complex that is supported by a bulky amido-amine ligand. A growth rate of about 3.5 Å/cycle was observed within a 120-160 °C ALD window and self-limiting growth was established for both precursors. Resistivities as low as 3.03 Ω·cm were obtained for the aluminum metal films. Root mean square surface roughnesses were 19-23% of the film thicknesses, as determined by atomic force microscopy. Films grown on TiN substrates were crystalline by X-ray diffraction. X-ray photoelectron spectroscopy of films grown at 100 and 140 °C showed Al (> 94 at%) with C and Cl impurities below the detection limit (< 1 and 0.5 at%, respectively). Accordingly, this process affords high purity, low resistivity aluminum metal films.


Tuesday, February 28, 2017

Substrate selectivity in the low temperature ALD of cobalt metal films

Cobalt metal films have increasing importance as magnetic materials, precursors to CoSi2 contacts, liners and encapsulation of copper vias an lines in interconetc, and possibly even as copper replacement conductors know as Cobalt fill. Here Wayne State, UT Dallas and former SAFC HiTech now EMD Performance Materials of MERCK publish an important paper on selective Co ALD at low temperature using bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and formic.

Substrate selectivity in the low temperature atomic layer deposition of cobalt metal films from bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and formic acid

The Journal of Chemical Physics 146, 052813 (2017); doi: http://dx.doi.org/10.1063/1.4968848



Tuesday, February 7, 2017

ALD of Metals Week on Twitter

In between all those very important policy tweets for the world as we knew it there seems to be Metal ALD theme this week on Twitter. Many new interesting publications on both the practical and theoretical paths to successful ALD of Metals to solve those BEOL issues at 7 nm.







Saturday, July 30, 2016

Wayne State presented new ALD chemistries for low temperature tantalum and selective cobalt at ALD2016

Prof. Chuck Winter and his team at Wayne State presented new ALD chemistries for low temperature tantalum and selective cobalt at ALD 2016 Ireland this week. Both processes are very important for todays scaling of logic and memory technologies. Metallic tantalum can be used in workfunction engineering of HKMG high performance FinFET transitors as well as for Cu seed/barrier technology in BEOL. Cobalt is as tantantlum an option for Cu barrier/seed and also used selectivly to cap the Cu lines and vias from oxidising and reducing RC performance.


The best highlight talk went to Marissa Kerrigan from Wayne State as voted by attendees on novel Co recursor chemistry for selective Cobalt (Left Marissa Kerrigan, right Simon Elliott, photo by ALD2016.com).

“This opens up the prospect of using tantalum in layers just a few nanometers thick as the liner for interconnect wiring in the complex geometries of next-generation electronic chips,” said the University, which worked with German chemicals giant BASF on the project accoring to Electronic Weekly.

Marissa Kerrigan also from Wayne State announced novel ALD chemistry for metallic cobalt that showed excellent selectivity to copper (photo by ALD2016.com).

“The Wayne State processes for tantalum and cobalt are significant steps forward in controlled growth of ultra-thin metals,” said conference chair, Dr Simon Elliott of Ireland’s Tyndall National Institute. “Strong growth is projected for area-selective deposition: in the near future, it will allow higher-precision patterning of semiconductor chips, and in the longer term it will be an enabler for manufacturing nano-structured materials on demand.” according to the same article in Electronics Weekly.

Thursday, July 21, 2016

Ultratech CNT in Dublin at ALD2016 presenting latest work on Superconductivity, SAMS Area-Selective ALD (ASD), Molybdenum Nitride and product developments

The Platinum Sponsor, supporting over 450 ALD research systems worldwide, will bring its science team to the ALD conference to present latest work and discuss leading edge application developments with delegates. Available at booth #15 and presenting as follows.


Plasma enhanced atomic layer deposition of molybdenum nitride
Adam Bertuch*1, Brent Keller2, Ganesh Sundaram1, Jeffrey Grossman2
1Ultratech - Cambridge NanoTech, USA, 2Department of Material Science and Engineering, Massachusetts Institute of Technology, USA
Tuesday 26 July:  Plasma-enhanced deposition and etching  -  Tuesday 26 July 15:45-17:15
16:30-16:45
Controlling smoothness of thin platinum ALD films
Ritwik Bhatia*1, Ralf Heilmann2, Alexander Bruccoleri3, Brandon Chalifoux2
1Ultratech-Cambridge Nanotech, USA, 2Massachusetts Institute of Technology, USA, 3Izentis LLC, USA
Wednesday 27 JULY:  Noble metals  -  Wednesday 27 July 08:15-10:15
10:00-10:15
Plasma enhanced atomic layer deposition of superconducting NbN films
Mark Sowa*1, Yonas Yemane2, J Provine3, Fritz Prinz4
1Ultratech/CNT, USA, 2Stanford University, Department of Applied Physics, USA, 3Stanford University, Department of Electrical Engineering, USA, 4Stanford University, Department of Mechanical Engineering and Department of Materials Science and Engineering, USA
Tuesday 26 July:  Poster session 2  -  Tuesday 26 July 17:15-19:00


Thursday, May 29, 2014

New industrial ALD processes from Picosun

Picosun reports that they now offers new industrial ALD processes for copper and niobium oxide using the 2nd generation of the Picohot™ 300 source system.


Ultra-thin copper films with the highest level of uniformity and conformality only ALD can offer as a thin film deposition method are a crucial element in today’s and future’s microelectronics and MEMS (microelectromechanical sensors) manufacturing. Copper metallization and seed layers are needed in e.g. microprocessors and 3D-integrated microelectronic components as interconnects. Niobium oxide (Nb2O5), on the other hand, is ideal for moisture and corrosion protection due to its hardness and chemical resistance. 

The now developed low temperature (below 150 °C) thermal ALD processes for niobium oxide and copper have been enabled by Picosun’s newest generation of precursor source design. The 2nd generation of the Picohot™ 300 source system allows even lower vaporization temperatures and efficient, uniform distribution of the precursor vapor in the reaction chamber even at low substrate temperatures.

“Our new processes for copper and niobium oxide show again the excellent quality of Picosun’s precursor source design, allowing true ALD processing also on thermally sensitive materials such as plastics and piezoelectrical elements. On several of Picosun’s main market areas such as MEMS, microelectronics, and corrosion protection, these processes further solidify our status as the leading solution provider of the thin film coating needs of today’s industries,” states Juhana Kostamo, Managing Director of Picosun.

Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous prominent industries around the globe. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.