Tuesday, October 30, 2018

Estimating rising demand for Ru thin-films in the next generation chips

STREM Chemicals offers one of the most promising ruthenium amidinate precursors for growing Ru ALD or CVD films

The saturation of Moore’s curve while following systematic downscaling of logic and memory devices has given rise to the biggest challenges involving contacts and interconnects in the chip. The transistors (FinFETs at leading nodes) are getting better and better at smaller dimensions. On the contrary, the shrinking interconnects and contacts at each technology node cause the unwanted resistance-capacitance (RC) delay to increase. Therefore, the chipmakers are exploring new materials to replace conventional tungsten and copper for contacts and interconnects, respectively (see figure below, LINK). At 10 nm, Intel switched over to cobalt for contacts and some, but not all, interconnect layers. Ru is also being explored hugely since Co has some trade-offs as well as higher line resistance (VLSI 2017, Globalfoundries). In fact, there was also news in the market regarding use of Ru implemented in Intel’s 10 nm technology node (TechInsight 2018).
Intel 10nm mid end of line cobalt and copper 12 layer metallization as presented at IEDM December 2017 pre-announcement (LINK, Press kit).

Ru is also an excellent candidate for the electrode capacitor in DRAM applications and gate electrode material in CMOS transistor applications because of its high melting point, high oxidation resistance, and low resistivity. Ruthenium’s lower resistivity and ease of dry etching give it a significant advantage over other noble metals like iridium and platinum. Ru seed-layer deposited as a conformal via liner significantly improves copper adhesion and hinders oxygen diffusion in interconnects and through-silicon-via (TSV) applications. Moreover, ruthenium oxide is conductive and therefore, oxygen diffusion from adjacent materials or processes does not hinder the device performance. Recent research reports the use of ruthenium-based materials as an electrode in ferroelectric applications as well.

Thus, as the main bottleneck of next generation ICs, interconnects and contacts are nowadays the major driving factors of the market of high quality specialty chemicals for the performance or function specific materials or molecules. The physical and chemical properties of a single molecule or a formulated mixture of molecules as well as its chemical composition influence the end product performance extensively. Therefore, unlike the other sub-sectors of the chemical industry, the companies manufacturing and marketing specialty chemicals provide more often than not targeted customer service to innovative individual technical solutions for their customers. 

Since 1964, Strem Chemicals, Inc. has been serving its clients from academic, industrial and government research and development laboratories as well as commercial scale businesses in the pharmaceutical, microelectronic and chemical / petrochemical industries. Strem (Headquarters: Newburyport, Massachusetts, USA) is a high purity specialty chemicals’ manufacturer and supplier. Strem also provides custom synthesis (including high pressure synthesis) and current good manufacturing practice (cGMP) services. With ISO 9001 certification for Quality Management System (QMS) standard and documentation, most of the Strem’s products are reliably of high purity, typically 99%, with some at 99.9999% metals purity. Strem utilizes a comprehensive range of analytical techniques tailored to each product to insure quality because the researchers typically rely on the supplier's quality procedures and documentation, which may kill a great research idea if poorly conducted. All of Strem's catalogs, since inception, have listed “Color and Form” for every product as primary indicators of quality.

More than fifty years of experience in manufacturing inorganic and organometallic chemicals has enabled Stem to expand its product offering of MOCVD, CVD, and ALD precursors. They are continually adding new products for this dynamic and exciting field. Strem’s product range includes:


Most of the available Ru ALD or CVD precursors have issues concerning low vapor pressure and high impurity levels, such as carbon and oxygen, which get incorporated in the films. In addition to that, long incubation times impacting throughput and process controllability, poor film adherence, and non-uniformity in high-aspect ratio structures are some critical limitations of the field. However, ruthenium amidinate precursors such as Bis(N,N’-di-t-butylacetamidinato)ruthenium(II) dicarbonyl (catalog number 44-0056) and others are some of the most promising precursors finding a home in Strem’s product catalog for growing ALD and CVD films. Each amidinate ligand chelates the metal center through two metal-nitrogen bonds. These relatively weak M-N bonds and strong byproduct N-H bonds lead to lower ALD growth temperatures. However, the M-N bonds are strong enough to provide stability to the precursor at the standard temperatures used. Also, since the amidinate ligands chelate to the metal center, they are thermally stable yet have high and self-limited reactivity to water vapor which is essential for ALD. Amidinates do not have any oxygen-metal bonds thus enabling the deposition of metallic films with very low oxygen content in the film and at the interface with the sub-layer.

ALD thin-films deposited using Bis(N,N’-di-t-butylacetamidinato)ruthenium(II) dicarbonyl exhibit high conductivity, density and purity.  Atomic probe microscopy measurements revealed nearly carbon free crystallites with far less carbon impurity segregated near the grain boundaries. The films also showed very good conformality even inside the trenches with aspect ratios (~40:1) possessing strong adhesion. The precursor was found to be thermally stable in a bubbler at 130°C for months and showed very clean TGA vaporization with only trace residue (0.2%).
---
Promotional Blog for Strem Chemicals, Inc.
Researched, produced & written by BALD Engineering AB, Stockholm, 2018-10-30
Abhishekkumar Thakur, Jonas Sundqvist
www.baldengineering.com



Video Online - HYCOAT Workshop "Hybrid nanocoatings through molecular layer deposition"

Here is a fantastic set of ALD Tutorials & Presentations available on YouTube from a recent HYCOAT event at Ghent University, Belgium August 27-29, 2018 - HYCOAT Workshop "Hybrid nanocoatings through molecular layer deposition (LINK). Please find the YouTube streams below.

HYCOAT Workshop "Hybrid nanocoatings through molecular layer deposition (Picture from Press release LINK)


HYCOAT is a project funded by the European Union in the framework of the H2020 Marie Skłodowska Curie Actions – Innovative Training Networks. It is the first European Training Network at the intersection of chemistry, physics, materials science and engineering dealing with the synthesis and applications of hybrid coatings grown by Molecular Layer Deposition (MLD). On its YouTube Channel, you can find content from the Workshops organized within the HYCOAT training network, as well as information on the research conducted at the participating universities and research institutes.


An introduction to atomic layer deposition (ALD) by Professor Christophe Detavernier, Ghent University, Belgium at the HYCOAT Workshop "Hybrid nanocoatings through molecular layer deposition". (August 27-29, 2018 at Ghent University, Belgium)


Dr. Paul Poodt from TNO, Netherlands, presenting ALD/MLD reactor consepts and design at the "Hybrid nanocoatings through molecular layer deposition" workshop held on August 27th - August 29th, 2018, Ghent University, Belgium.


Professor Adrie Mackus from Eindhoven University of Technology, Netherlands with a lecture on area-selective ALD at the HYCOAT Workshop "Hybrid nanocoatings through molecular layer deposition", held between August 27th and August 29th, 2018 at Ghent University, Belgium.


Professor Mikko Ritala from University of Helsinki, Finland giving an introduction to the chemistry of ALD/MLD precursors at the HYCOAT Workshop "Hybrid nanocoatings through molecular layer deposition", held between August 27th and August 29th, 2018 at Ghent University, Belgium. 


Professor Jess Jur from North Carolina State University, U.S.A. presenting the basics and latest research on atomic layer deposition onto polymers and textiles at the "Hybrid nanocoatings through molecular layer deposition"workshop (August 27-29, 2018, Ghent University, Belgium)

An introduction to diffusion phenomena occuring during atomic layer deposition processing by Professor Mato Knez, CIC nanoGUNE, Spain. Presented at the "Hybrid nanocoatings through molecular layer deposition" workshop held at Ghent University, Belgium from August 27th to August 29th, 2018.


Dr. Karen Leus, Ghent University, Belgium, giving an introduction on properties and applications of metal-organic frameworks and covalent organic frameworks at the "Hybrid nanocoatings through molecular layer deposition" workshop at Ghent University, Belgium.

Monday, October 29, 2018

ALD/MLD reactor design and precursor delivery Tutorial by Paul Poodt

Dr. Paul Poodt from TNO, Netherlands, presenting ALD/MLD reactor consepts and design at the "Hybrid nanocoatings through molecular layer deposition" workshop held on August 27th - August 29th, 2018, Ghent University, Belgium.


Argonne scientists create new oil-resistant filter technology

Argonne reports a novel new way to keep oil from clogging filters and equipment using ALD coated mabranes.

Crude oil is sticky stuff and often clogs filters membranes and other equipment used in the oil and gas industry. To address this problem, scientists at the U.S. Department of Energy’s (DOE) Argonne National Laboratory have developed a novel approach, which will prolong the lifetime of key industrial equipment.
The scientists used a method called atomic layer deposition, which uses chemical vapors to deposit a very thin coating of the metal oxide on all of the filter membrane surfaces. They experimented using different metal oxides on off-the-shelf commercial polymer membranes to find which ones worked the best. The team published the results in ACS Nano on August 14. 


This shows a membrane, when fouled by crude oil, but coated by titanium dioxide (TiO2), releases oil when placed in water.

Read the full news release here: https://www.anl.gov/article/argonne-s...

Coventor - N7 FinFET Self-Aligned Quadruple Patterning Modeling

Coventor just released a white paper for ther modelling on FinFET Self-Aligned Quadruple Patterning for the 7nm node (N7).

You can request the paper for download here: LINK

White Paper : N7 FinFET Self-Aligned Quadruple Patterning Modeling

In this paper, we model fin pitch walk based on a process flow simulation using the Coventor SEMulator3D virtual platform. A taper angle of the fin core is introduced into the model to provide good agreement with silicon data. The impact on various Self-Aligned Quadruple Patterning process steps is assessed. Etch sensitivity to pattern density is reproduced in the model and provides insight on the effect of fin height variability.
 
 

Sunday, October 28, 2018

TECHCET Critical Materials Reports(TM) and Market Briefs on CVD & ALD

TECHCET CA LLC is an advisory services firm focused on materials supply-chain market analysis and technologies for electronic device markets. Now integrated with the Critical Material Council (CMC), TECHCET works with leading IC fabricators to solve supply-chain issues by way of CMC activities, Critical Material Reports™ (CMRs), Analyst meetings, and other services. Favorite topics include Silicon wafers, ALD/CVD precursors, CMP consumables, Gases, Photoresists, Metals/chemicals, Wet chemicals and China.


Jonas Sundqvist, Ph.D. – Sr. Technology Analyst of TECHCET— covers ALD and CVD precursors and related technologies, and is the co-chair of the Critical Materials Council (CMC) Conference. His over 20 years of work experience includes group leader of the High-k devices group at Fraunhofer’s Center Nanoelectronic Technologies (CNT), which included 28nm node work for GLOBALFOUNDRIES Fab1. While there, he founded the ALD Lab Dresden together with TU Dresden. At Infineon Memory Development Centre (MDC) he developed high-k and metal nitride ALD processes, and at Qimonda he was a materials manager focused on the ALD / CVD precursors supply-chain. He is the founder of BALD Engineering, an independent blog and networking platform for ALD. He holds a Ph.D. and a M.S. in inorganic chemistry from Uppsala University, Sweden, a B.S. in electrical and electronics engineering from Lars Kagg, and nine patents.

CVD/ALD High K Metal Precursors – NEW!

The High K / ALD Metal Precursors report provides information on the applications and markets associated with front end and back end of line precursors used to produce high dielectric constant (K) dielectrics and atomic layer deposition metal oxides and nitrides.

Dielectric Precursors 2018 – Just Released!

The Dielectric Precursors Report provide detailed market, supply chain and technology trend information required for anyone developing strategy for their business, be it a materials supplier or semiconductor chip manufacturer. Precursor types include, gapfill, ILD, low K, and multi-patterning.

Market Briefs – Precursor Bulk Delivery Systems

Coming Soon – Detailed report about the precursor bulk delivery systems

Market Briefs – Precursor Development – ALD / CVD Systems and Precursors

The report details about the Precursor Development in ALD/CVD Systems and Precursors

Market Briefs – Precursor Development – How to take a precursor from lab to fab

Coming Soon – The precursor development briefing explains all about how to take it from lab to fab.
GET THE REPORT

Market Briefs - China ALD / CVD Market

Coming Soon

For additional information about CMRs or CMC membership please contact us by clicking here,  call +1-480-332-8336, x 1, or go to www.techcet.com or www.cmcfabs.org.

SAVE the DATE for the 2019 CMC Conference, scheduled for April 25-26 in the Malta/Albany, New York area.

Fabrication of buried nanostructures with flat surface by ALD


Fabrication of buried nanostructures by atomic layer deposition (Open Access)

Rizwan Ali, Muhammad Rizwan Saleem, Matthieu Roussey, Jari Turunen & Seppo Honkanen Scientific Reportsvolume 8, Article number: 15098 (2018) 

We present a method for fabricating buried nanostructures by growing a dielectric cover layer on a corrugated surface profile by atomic layer deposition of TiO2. Selecting appropriate process parameters, the conformal growth of TiO2 results in a smooth, nearly flat-top surface of the structure. Such a hard surface can be easily cleaned without damage, making the nanostructure reusable after contamination. The technique has wide applicability in resonance-domain diffractive optics and in realization of quasi-planar metamaterials. We discuss design issues of such optical elements and demonstrate the method by fabricating narrow-band spectral filters based on the guided-mode resonance effect. These elements have strong potential for, e.g., sensing applications in harsh conditions.


Fabrication process of ALD-TiO2 buried guided mode resonance filters (GMRFs). Shared under Creative Commons Attribution 4.0 International License From: Fabrication of buried nanostructures by atomic layer deposition