Showing posts with label ALD Finacials. Show all posts
Showing posts with label ALD Finacials. Show all posts

Thursday, November 23, 2023

AI-Driven Chip Demand Spurs Optimism in Semiconductor Industry; Analyst Upgrades Key Players with Bullish Price Targets

Analyst Timm Schulze-Melander (Head of Tech Hardware research, Redburn) predicts growth in the semiconductor equipment industry, driven by AI-related chip demand. He upgraded Applied Materials and ASM International to "Buy," started Lam Research with a "Buy" rating, and rated KLA as "Neutral." Despite current capacity reductions, he sees growth resuming in 2025. Positive signs include recovering PC and mobile phone markets, with smartphone shipments expected to rise. He set price targets for each company, highlighting their market strengths. This analysis led to a rise in the companies' stock prices, reflecting optimism for the sector's future, particularly in the context of AI-driven demand.

The target prices set by analyst Timm Schulze-Melander are as follows:
  • Lam Research: $800 - now $717
  • ASM International: €545 - now $459
  • KLA: $550 - now $554
  • Applied Materials: $175 - now $149






Sources: 
Google Finance

Friday, November 23, 2018

Picosun Group reports significant increase in turnover and profitability

 
ESPOO, Finland, 23rd November 2018 – Picosun Group, a leading provider of advanced ALD (Atomic Layer Deposition) thin film coating technology for global industries, reports 37 % rise in turnover to 25.96 million euros during its previous fiscal year, which ended 30th September 2018. 
 
At the same time, the company increased its profitability. EBIT grew to 1.42 million euros which equals 5.5 % of turnover, and EBITDA to 2.39 million euros which is 9.2 % of turnover. The numbers are still unconfirmed.

Picosun’s personnel grew one third to 86 people. Almost 25 % of the personnel have either Ph.D. or D.Sc. degree.

”We are very pleased with the numbers of the previous fiscal year. What also makes us happy is the fact that we were able to increase important investments that support development of our company. Agility and unmatched ALD expertise are our core strengths which we will never compromise,” says Mr. Kustaa Poutiainen, Chairman of the Board and CEO of Picosun Group.

Last year, Picosun invested 4.4 million euros to research and development. This is 17 % of the company’s turnover.

For the ongoing fiscal year Picosun has budgeted 33.3 million euros turnover, which means 28 % growth. The company is also expecting further improvement in profitability, and it is planning to increase its R&D investments to 5.7 million euros.

Picosun’s personnel is expected to grow at the same rate as during the previous fiscal year. Healthcare business will be one of the key factors to boost Picosun’s growth.

”Our PicoMEDICAL™ solutions, specifically targeted to the healthcare industries, have raised a lot of interest amongst our customers. ALD will revolutionize advanced health technologies, just like it did to microelectronics industries more than ten years ago. As the leading AGILE ALD™ solutions provider, we are the pioneers in this field,” continues Poutiainen.

The company has strengthened its global Service and Support operations by hiring lots of new personnel, and by establishing a specific Customer Experience unit. Also Picosun’s China operations have undergone restructuring, and they shall be significantly reinforced during the ongoing fiscal year.

Picosun provides the most advanced ALD thin film coating technology to enable the industrial leap into the future, with turn-key production solutions and unmatched expertise in the field. Today, PICOSUN™ ALD equipment are in daily manufacturing use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in Europe, North America, Singapore, Taiwan, China, and Japan, and a world-wide sales and support network. Visit www.picosun.com.

Saturday, October 22, 2016

ALD Financial News 3Q/2016

Recent top Financial news in Atomic Layer Deposition as reported by BALD Engineering under ALD Financial News.

ALD Equipment Market - Global Industry Analysis Size Share Growth Trends and Forecast 2016 - 2024

The global ALD equipment market stood at US$875.0 mn in 2015. Exhibiting a CAGR of 29.4% between 2016 and 2024, the market is poised to reach US$8.58 bn by the end of 2024.

Lam Research see growth in atomic level processing : ALD & ALE

"Our differentiated product pipeline momentum continues apace most recently with metal ALD in 3D NAND and atomic level etch in dielectric foundry applications. In addition, our business teams are achieving more than 90% success in critical defenses and new market application penetrations combined which is extremely rewarding. This is an exciting time to be part of the semiconductor ecosystem, no doubt." 

2016 IC market forecast raised from -2% to +1%

IC Insights will release its October Update to the 2016 McClean Report later this week. This Updateincludes a review of IC Insights’ latest 2016 IC market forecast, an update on the rebounding DRAM market, and an extensive analysis of the optoelectronics, sensor/actuator, and discrete (O-S-D) markets. An excerpt from the October Update, describing the upgraded 2016 IC market forecast, is shown below...

China plans 3D NAND production next year with ALD

According to media reports (Digitimes and Electronics Weekly) Yangtze River Storage Technology (YRST) the Tsinghua Unigroup Chinese memory company, will start producing 3D NAND at the end of next year.

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).

Dutch SoLayTec book more orders for Spatial ALD PV PERC Systems 

SoLayTec said Monday that it had received a follow-on order for three next generation solar ALD systems from an unnamed solar cell manufacturer in Asia and is expected to ship it in the next six months. The company, originally a spin-off firm of Dutch research organization TNO, launched in 2010. It has booked 22 ALD system orders since its inception, of which 15 are for mass production.



Veeco Announces Reduction in ALD Technology Investments

Veeco Instruments Inc. (VECO) today announced additional cost reduction initiatives with the decision to significantly reduce future investments in its Atomic Layer Deposition ("ALD") technology development. This action reflects the company's ongoing focus to balance technology investments with the potential for associated revenue realization.  

Samsung & LG Move To ALD for OLED encapsulation may push Applied Materials out of the market

Samsung Electronics and LG Display have indicated a move to atomic layer deposition for encapsulation of flexible OLED displays.
BRIEF Jusung Engineering Co Ltd : Says it signs contract with LG Display Co., Ltd., to provide display manufacture equipment .Contract amount of 38.27 billion won. [REUTER]



“We are delighted that our customer has completed the evaluation of our QXP-8300 ALD system for the manufacturing of the most advanced high performance memory devices. The QXP-8300 ALD system enables the manufacturing of advanced films with excellent electrical and device properties. AIXTRON is looking forward to further support its customer’s memory development plans by providing the production equipment to address the challenges of a rapidly evolving industry,” says Bill Bentinck, Vice President and General Manager of AIXTRON Inc., USA.


 

 

 

Friday, September 23, 2016

Rumor: Apple 2017 iPhone may have Samsung's new Flexible OLED made by ALD

Here are some unconfirmed information that ALD will be used in the next generation Apple iPhone as of 2017.In addition, Korea IT News is claiming that both Samsung and LG have finalized the R&D phase of introducing ALD barrier technology for OLED and are now working closely with Korean ALD OEMs to go to production. Companies mentioned are Jusung Engineering, WONIK IPS, AP System, and TES.

With Apple reportedly shifting to iPhones with OLED displays starting in 2017, it will be interesting to see if Apple will be using Samsung's latest OLED technology that may be ready for the 2017 anniversary iPhone. According to a new OLED report, Samsung Display and LG Display are working to introduce ALD (Atomic Layer Deposition) technology to flexible OLED thin-film encapsulation process. They both have worked closely with their equipment partners to get them up to speed. ALD technology will add an important component to OLED displays for smartphones that add protecting organic materials from oxygen and water that will increase the overall life of a display. Samsung in particular is reported to be "working fast to introduce ALD technology." 
LINK: http://www.patentlyapple.com/patently-apple/2016/09/apples-2017-iphone-may-use-samsungs-new-flexible-oled-atomic-layer-deposition-technology.html

Checking the Korean ALD companies on the stockmarket give no hint or reaction that any of them are about to sign big deals.



Last 6 months performance for an index based on Korean companies with ALD Technology that may be used for OLED Encapsulation. Tes Co Ltd, Jusung Engineering Co., Ltd., EugeneTechnology Co Ltd and Wonik IPS Co Ltd. (Plotted using Google Finance)

Monday, September 5, 2016

ALD Financials: Applied Materials and Lam Research - Head to head ...

Here is an interesting head to head comparison by Seeking Alpha between the two leading Equipment Manufacturers in Semiconductor industry - Applied Materials and Lam Research. Neither have until now considering the opportunities and resources that they had been that successful in ALD. 

The big mystery  - Applied Materials is actually dominating much of all : Epi, PVD, Implant, CMP, RTP and CD-SEM businesses, but has only like 2% of the ALD Market and Etch is also not looking that good. So it will be interesting to follow how the new Olympia platform takes on the competition.

Most inserting, while the OEM market a sa whole is concentrated into the Top 5 players (Applied Materials, Lam Research, ASML, Tokyo Electron and KLA-Tencor) that all together has a market share of  >70% ALD ist totally different. Here the sub Top 5 players constitute >65% of the market (ASMI, Kokusai, Jusung, Aixtron, Picosun, Ultratec, Beneq, Oxford Instruments, NCD and others).

Table 1 - AMAT and LRCX Shares for Etch and Deposition (PECVD)
Etch ($M) 2012 Revenues Share 2015 Revenues Share
Applied Materials 395 10% 1,130 18%
Lam Research 1,745 45% 3,250 52%
Total Market 3,840
6,250





PECVD ($M) 2012 Revenues Share 2015 Revenues Share
Applied Materials 700 55% 1,070 57%
Lam Research 430 34% 600 32%
Total Market 1,275
1,880





Total Etch-Deposition 2012 Revenues Share 2015 Revenues Share
Applied Materials 1,095 21% 2,200 27%
Lam Research 2,175 43% 3,850 47%
Total Market 5,115
8,130





Source: The Information Network (theinformationnet.com)