Saturday, October 22, 2016

ALD Financial News 3Q/2016

Recent top Financial news in Atomic Layer Deposition as reported by BALD Engineering under ALD Financial News.

ALD Equipment Market - Global Industry Analysis Size Share Growth Trends and Forecast 2016 - 2024

The global ALD equipment market stood at US$875.0 mn in 2015. Exhibiting a CAGR of 29.4% between 2016 and 2024, the market is poised to reach US$8.58 bn by the end of 2024.

Lam Research see growth in atomic level processing : ALD & ALE

"Our differentiated product pipeline momentum continues apace most recently with metal ALD in 3D NAND and atomic level etch in dielectric foundry applications. In addition, our business teams are achieving more than 90% success in critical defenses and new market application penetrations combined which is extremely rewarding. This is an exciting time to be part of the semiconductor ecosystem, no doubt." 

2016 IC market forecast raised from -2% to +1%

IC Insights will release its October Update to the 2016 McClean Report later this week. This Updateincludes a review of IC Insights’ latest 2016 IC market forecast, an update on the rebounding DRAM market, and an extensive analysis of the optoelectronics, sensor/actuator, and discrete (O-S-D) markets. An excerpt from the October Update, describing the upgraded 2016 IC market forecast, is shown below...

China plans 3D NAND production next year with ALD

According to media reports (Digitimes and Electronics Weekly) Yangtze River Storage Technology (YRST) the Tsinghua Unigroup Chinese memory company, will start producing 3D NAND at the end of next year.

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).

Dutch SoLayTec book more orders for Spatial ALD PV PERC Systems 

SoLayTec said Monday that it had received a follow-on order for three next generation solar ALD systems from an unnamed solar cell manufacturer in Asia and is expected to ship it in the next six months. The company, originally a spin-off firm of Dutch research organization TNO, launched in 2010. It has booked 22 ALD system orders since its inception, of which 15 are for mass production.



Veeco Announces Reduction in ALD Technology Investments

Veeco Instruments Inc. (VECO) today announced additional cost reduction initiatives with the decision to significantly reduce future investments in its Atomic Layer Deposition ("ALD") technology development. This action reflects the company's ongoing focus to balance technology investments with the potential for associated revenue realization.  

Samsung & LG Move To ALD for OLED encapsulation may push Applied Materials out of the market

Samsung Electronics and LG Display have indicated a move to atomic layer deposition for encapsulation of flexible OLED displays.
BRIEF Jusung Engineering Co Ltd : Says it signs contract with LG Display Co., Ltd., to provide display manufacture equipment .Contract amount of 38.27 billion won. [REUTER]



“We are delighted that our customer has completed the evaluation of our QXP-8300 ALD system for the manufacturing of the most advanced high performance memory devices. The QXP-8300 ALD system enables the manufacturing of advanced films with excellent electrical and device properties. AIXTRON is looking forward to further support its customer’s memory development plans by providing the production equipment to address the challenges of a rapidly evolving industry,” says Bill Bentinck, Vice President and General Manager of AIXTRON Inc., USA.


 

 

 

No comments:

Post a Comment