Monday, November 19, 2018

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma

Plasma atomic layer etching (ALE) is typically know as an anisotropic etch method (directional), which is very useful property in many cases but sometimes not good at all, e.g, when you want to conformally (sorry for the reverse ALD expression) etch an high aspect ratio feature like a deep hole or a pillar.

Here is a fresh publication from TU Eindhoven and TNO/Holst Center in the Netherlands on their recent development of a Plasma ALE process capable of isotropical etch, i.e, conformal etching, of very high aspect ratio ZnO nanowires. Its is Open Access so go ahead and download it for free.

Fred, heel erg bedankt voor het delen van deze!

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma

A. Mameli, M. A. Verheijen, A. J. M. Mackus, W. M. M. Kessels, and F. Roozeboom
ACS Appl. Mater. Interfaces, 2018, 10 (44), pp 38588–38595
DOI: 10.1021/acsami.8b12767
 
 
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.

No comments:

Post a Comment