Sunday, August 27, 2023

The Industiral Ecosystem of Si Chips and Atomic Layer Deposition - Webinar


Register now for a FREE #ACSScienceTalks #VirtualEvent with  Assoc Editor discussing "The Industrial Ecosystem of Si Chips & Atomic Layer Deposition as a Key Nanofabrication Technology." 👉brnw.ch/21wC0I4

Dutch Scientists at TNO & TU Eindhoven Develop Efficient Monolithic Perovskite-PERC Tandem Solar Cell

Highlights

  • Champion 23.7% efficient perovskite-PERC tandem cell was achieved.

  • The developed thermal atomic layer deposition (ALD) process for NiO is reported.

  • ALD NiO was added to an ITO/SAM recombination junction to improve the device yield.

Dutch researchers at TNO and TU Eindhoven have achieved a notable breakthrough in solar cell technology by creating a monolithic perovskite-PERC tandem solar cell with a remarkable 23.7% efficiency. The innovation lies in a new tunnel recombination junction (TRJ) design that includes indium tin oxide (ITO), carbazole (2PACz), and a nickel(II) oxide (NiO) layer. Unlike conventional TRJs, the addition of NiO significantly reduces electrical issues in the perovskite top cell.


(a) HAADF-scanning transmission electron microscopy (TEM) image of a tandem cell using ITO/NiO/2PACz. (b) Compositional line profiles at the interface ITO/NiO/SAM extracted from an EDX elemental mapping. Note that the figure is rotated 90°.

By using atomic layer deposition (ALD), the team improved the uniformity of the self-assembled monolayer (SAM) in the TRJ structure. This new solar cell design includes a perovskite absorber, electron transport layers, an ITO electrode, a silver (Ag) metal contact, and an antireflective coating.

Comparing their creation with a reference cell, the researchers found the novel TRJ-based cell achieved an efficiency of 23.7%, slightly below the reference cell's 24.2%. However, the novel design's uniform coverage of SAM and consistent efficiency across different devices within and between batches makes it promising for large-scale production.

Published in Solar Energy Materials and Solar Cells, this research opens doors for improved perovskite-PERC tandem solar cell technology using ALD NiO.

Atomic layer deposition of NiO applied in a monolithic perovskite/PERC tandem cell - ScienceDirect

Trelleborg Sealing Solutions Unveils State-of-the-Art Semiconductor Seals and Pioneering Engineering Expertise at Semicon Taiwan 2023: Spotlight on Atomic Layer Deposition Application

Trelleborg Sealing Solutions Exhibits Advanced Semiconductor Seals and Engineering Prowess at Semicon Taiwan 2023

Trelleborg Sealing Solutions, a leading player in engineering solutions, is making waves at Semicon Taiwan 2023 by showcasing its cutting-edge engineering capabilities and an expanded range of semiconductor sealing solutions. The event, hosted at the Taipei Nangang Exhibition Center, features Trelleborg's booth highlighting their latest additions to the Isolast PureFab FFKM material range, a significant advancement in semiconductor seal technology.

At the forefront of their display is the Isolast PureFab JPF40, an ultra-high temperature perfluoroelastomer (FFKM) designed for demanding subfab applications and thermal processes. This includes pivotal processes such as rapid thermal processing (RTP) and atomic layer deposition (ALD), crucial for semiconductor manufacturing. This material boasts unparalleled compression set performance within a wide operating temperature range, ensuring airtight seals in critical processes even at extreme temperatures reaching +300°C. The remarkable capability to withstand peak application temperatures exceeding +325°C makes it a game-changer in the semiconductor industry.

Ethan Huang, the Semiconductor Segment Manager at Trelleborg Sealing Solutions, emphasized the vital role of reliable sealing solutions in safeguarding semiconductor processes against escalating temperatures and aggressive chemical agents. The Isolast PureFab JPF40 and other offerings within the PureFab range are meticulously engineered to address the unique challenges posed by semiconductor environments.

Furthermore, Trelleborg's expertise extends to predictive engineering through finite element analysis. This innovative approach aids in modeling compression set data, allowing engineers to more accurately estimate the usable lifetime of seals during design and production. This predictive technology is a significant leap forward, enabling enhanced seal longevity assessments.

A standout in their exhibition is the Isolast K-Fab Flange Seal, designed for critical subfab applications and capable of withstanding temperatures up to +327°C, dependent on material selection. The seal's versatility in material options, including Isolast FFKM, PureFab FFKM, and PureFab Fluoroelastomer (FKM), makes it adaptable to various requirements.

An interesting focus lies on Trelleborg's contributions to atomic layer deposition (ALD). Their materials, like Isolast PureFab JPF22, exhibit remarkable chemical compatibility with wet process chemicals, steam, and amine-based ALD precursors. This makes them well-suited for ALD applications, which are vital to modern semiconductor fabrication processes.

In addition to their product lineup, Trelleborg also presents the Turcon Variseal NW, showcasing their prowess in spring-energized seals for extreme environments. This seal operates across an extensive temperature range and excels in both wear resistance and friction characteristics.

Semicon Taiwan 2023 provides a platform for Trelleborg Sealing Solutions to not only showcase their groundbreaking products but also to engage with industry professionals about their specific sealing needs. The event highlights the convergence of innovative engineering and the semiconductor industry's evolving demands.

Saturday, August 26, 2023

SK Hynix Leads DRAM Industry's Rebound in Q2 with Revenue Surge, Reclaims No. 2 Position

South Korea's SK Hynix Inc. has orchestrated a substantial resurgence in the DRAM chip sector during Q2, propelling itself back to the second-largest global position and surging ahead of Micron Technology Inc., which now stands third. The chipmaker achieved a nearly 50% surge in DRAM shipments, propelling its revenue to $3.44 billion in the April-June period. Notably, SK Hynix excelled in DDR5 and HBM chip shipments, products with higher average selling prices (ASPs) than standard commodity DRAM items, thus enhancing its ASP growth by 7-9% compared to the previous quarter. In contrast, market leader Samsung Electronics experienced a 7-9% ASP drop while retaining its top position, and third-place Micron sustained relatively stable ASP with DDR5 shipments. The overall DRAM industry marked a 20.4% QoQ revenue increase in Q2, signaling a potential turnaround in the sector.

SK Hynix leads DRAM industry’s Q2 revenue rebound, retakes No. 2 spot - KED Global

Global Semiconductor Industry Poised for 2024 Recovery Amidst Near-Term Challenges, SEMI Reports

In a recent report by SEMI, in collaboration with TechInsights, the global semiconductor industry shows signs of emerging from its downcycle, with a projected recovery expected in 2024. The report highlights that the third quarter of 2023 is anticipated to witness a healthy 10% quarter-on-quarter growth in electronics sales, while memory IC sales are set to achieve double-digit growth for the first time since the downturn began in 2022. Although headwinds persist in the semiconductor manufacturing sector during the latter half of 2023, a rebound is on the horizon.


Inventory drawdowns at integrated device manufacturer (IDM) and fabless companies are forecasted to keep fab utilization rates lower than those seen in the first half of 2023. Despite this, positive trends are noted in capital equipment billings and silicon shipments, stemming from government incentives and robust equipment sales backlogs.

Market indicators suggest the semiconductor industry reached its nadir by mid-2023, commencing a path to recovery, setting the stage for growth in 2024. All segments are predicted to witness year-over-year increases in 2024, with electronics sales projected to surpass their 2022 peak.

Clark Tseng, Senior Director of Market Intelligence at SEMI, pointed out that the gradual demand recovery might extend the timeline for inventory normalization until the end of 2023, leading to temporary reductions in fab utilization rates. Nevertheless, semiconductor manufacturing is expected to hit its bottom in Q1 2024.

Boris Metodiev, Director of Market Analysis at TechInsights, highlighted the resilience of equipment sales and fab construction despite the broader downturn. He attributed this trend to government incentives driving new fab projects and strong backlogs supporting equipment sales.

Original Source: SEMI https://www.semi.org/en/news-resources/press-releases/2023/08/global-semiconductor-industry-on-track-for-2024-recovery-but-near-term-headwinds-remain-semi-reports

Friday, August 25, 2023

AI Chip Market Poised to Soar: Gartner Predicts Revenue to Reach $53 Billion in 2023, Double by 2027

Gartner forecasts that worldwide AI chips revenue will reach $53.4 billion in 2023, an increase of 20.9% from 2022. The growth is driven by the developments in generative AI and the increasing use of a wide range of AI-based applications, such as natural language processing, computer vision, speech recognition and machine learning.




The AI semiconductor industry is on the brink of a remarkable surge, as outlined by Gartner's latest forecast. Predicting an impressive revenue increase of 20.9%, the industry is set to reach a staggering $53.4 billion in 2023. This upward trajectory shows no signs of slowing down, with anticipated growth rates of 25.6% in 2024, culminating in an AI chips revenue forecast of $67.1 billion. However, the real eye-opener lies in Gartner's projection for 2027, where the AI chips market is poised to more than double, reaching an astonishing $119.4 billion. 

This meteoric rise is attributed to the expanding landscape of AI-based applications in data centers, edge devices, and more, necessitating the deployment of high-performance graphics processing units (GPUs) and tailored semiconductor devices. Notably, custom-designed AI chips are expected to become a staple, replacing prevalent architectures and accommodating the growing demand for optimized AI workloads. The consumer electronics sector is also embracing this transformation, with the value of AI-enabled application processors predicted to surpass $1.2 billion by the close of 2023. The future shines brightly for AI chips, as generative AI techniques and hyperscalers' interests drive innovation and efficiency in deploying AI applications. Gartner's insights underscore the imminent revolution in the semiconductor industry, ushering in an era of unprecedented growth and potential.

TRION Battery and Forge Nano Partner to Advance Lithium-Ion Battery ALD Tech

TRION Battery Technologies and Forge Nano have teamed up to revolutionize lithium-ion battery performance. This strategic partnership combines Forge Nano's Atomic Layer Deposition (ALD) coating technology with TRION's innovative SX-silicon materials to enhance batteries for aerospace, defense, and other high-demand markets.

TRION Battery Technologies and Forge Nano have signed a Memorandum of Understanding (MoU) to jointly develop lithium-ion battery solutions. This collaboration marks the beginning of a journey toward improved battery performance.

Forge Nano's ALD material coatings have shown significant improvements on various battery electrode materials. The partnership aims to achieve similar breakthroughs by combining these coatings with TRION's SX-silicon materials. TRION's SX-silicon has successfully overcome challenges associated with silicon use in batteries, achieving impressive milestones.

This partnership caters to demanding markets like defense, aerospace, and electric mobility. As batteries become vital in these sectors, the collaboration promises to showcase the strengths of both technologies.

The partnership accelerates TRION's SX-silicon commercialization strategy, reinforcing its value proposition to cell manufacturers. Forge Nano sees the partnership as aligning with their target markets and aims to establish a strong US supply chain.

The MoU outlines joint testing of ALD coatings on TRION's SX-silicon. The partnership aims to extend battery life, improve energy density, and enhance overall safety and efficiency in lithium-ion batteries.

TRION Battery and Forge Nano's partnership is set to reshape lithium-ion battery technology. By combining their expertise and materials, they're on a path to enhance battery capabilities for critical industries. This collaboration demonstrates the potential of synergy in driving technological advancement


TRION Battery Technologies and Forge Nano are poised to revolutionize lithium-ion battery safety and performance through their strategic collaboration. Integrating Forge Nano's advanced Atomic Layer Deposition (ALD) technology, known as Atomic Armor®, with TRION's innovative SX-silicon materials, the partnership aims to enhance battery capabilities for aerospace, defense, and beyond. By creating protective ALD coatings on electrode surfaces, they prevent degradation, improve heat dissipation, and mitigate reactivity during thermal runaway. This innovative approach not only promises higher performance but also addresses critical safety concerns, solidifying their position as pioneers in the realm of advanced battery technology.




German Firm EMD Electronics Invests $300 Million to Expand Semiconductor Manufacturing in Pennsylvania

US-based EMD Electronics, a subsidiary of German Merck KGaA, is set to bolster its North American presence by establishing a $300 million semiconductor specialty gases manufacturing facility in Schuylkill County, Pennsylvania. This strategic move, aimed at doubling their production capacity for critical semiconductor components, is anticipated to generate 68 job opportunities.

The endeavor enjoys financial backing from the Pennsylvania Department of Community and Economic Development, underscoring the state's commitment to fostering business expansion. This expansion not only highlights the industry's focus on supply chain resilience, domestic manufacturing, and emerging technologies like semiconductors for AI, IoT, and 5G, but also emphasizes the notable German origin of the company.



Thursday, August 24, 2023

Global Semiconductor Market Trends & Electronic Gases: USA, China, Europe, and Beyond

The semiconductor industry is poised to surge into a trillion-dollar arena by 2030, marking a pivotal decade for stakeholders worldwide. While the electronics sector's hunger for microchips intensifies, the complex global landscape reveals a multifaceted picture.




Rising Electronic Gases Demand in Semiconductor Industry Signals Global Market Dynamics

In a recent forecast by TECHCET, the electronic gas market is projected to experience a notable upward trajectory with a 6.4% Compound Annual Growth Rate (CAGR) over the next five years. This surge is attributed to the expansion of the semiconductor industry, with a specific focus on advanced logic and 3DNAND applications. The ongoing and planned fab expansions in major regions around the world are expected to drive demand for electronic gases even further, necessitating a robust supply chain to accommodate this growth.

The demand for critical gases such as diborane (B2H6) and tungsten hexafluoride (WF6) is poised to increase significantly due to their pivotal role in manufacturing various semiconductor devices, including logic ICs, DRAM, 3DNAND memory, and flash memory. This surge in demand may pose challenges to the supply chain, potentially leading to constraints.

Notably, the semiconductor industries of major players like the United States, China, Europe, Asia, and the UK are at the forefront of this demand-driven transformation. The US has witnessed significant investments from key chip manufacturers such as GlobalFoundries, Intel, Samsung, TSMC, Texas Instruments, and Micron Technology. Similarly, China's exponential semiconductor growth has been driven by policies like "Made in China 2050," while Europe's European Chips Act aims to bolster competitiveness and resilience.

In the UK, the launch of the National Semiconductor Strategy signals a commitment to nurturing growth in R&D, design, and compound semiconductors, highlighting the sector's global significance. Meanwhile, in Asia, disruptions in the supply chain and the Ukraine-Russia conflict have amplified concerns over the availability of crucial gases like neon and helium.

To address these concerns, the industry is exploring new gas supply sources and strategic collaborations. However, the potential for shortages in gases like Xe, Kr, NF3, and WF6 remains unless additional capacity is brought online.

TECHCET's forecast underscores the pivotal role of electronic gases in the semiconductor sector's expansion and the subsequent impact on the global market. As the industry navigates burgeoning demand and potential supply constraints, collaboration, diversification, and capacity expansion emerge as key strategies to ensure the sustained growth and competitiveness of the semiconductor industry in various regions across the globe. For more detailed insights, refer to the TECHCET Electronic Gases Market Report.

Innovating Coating Technologies: A Spotlight on Swiss Cluster's Advanced ALD Products

In the dynamic landscape of materials science and technology, Swiss Cluster emerges as a pioneering force with its cutting-edge Atomic Layer Deposition (ALD) solutions. Founded by a team of experts hailing from the Swiss Federal Institute for Material Science & Technology (Empa) in Thun and Bern University of Applied Sciences, Swiss Cluster has swiftly carved a niche for itself in the field. The company's commitment to innovation and precision is evident in its diverse range of ALD products, each tailored to meet the demands of various industries and applications.

Swiss Cluster's journey began in 2019, and it officially registered as a company in November 2020. The driving force behind Swiss Cluster's success lies in the collective expertise of its team, which encompasses researchers and engineers specializing in thin films deposition techniques, vacuum and plasma deposition technologies, and materials characterization. This robust foundation has paved the way for the creation of three exceptional ALD products that are reshaping the way coatings are applied to 3D objects.


1. SC Optima Series: Elevating Coating Precision and Efficiency

The SC Optima Series stands as a testament to Swiss Cluster's commitment to innovation and efficiency. Designed as the next generation of large batch systems for ALD, this series embodies the perfect synergy of precision, speed, and uniformity. Boasting a patent-pending chamber, the SC Optima Series can seamlessly adapt to various 3D parts and coating materials. Its exceptional coating homogeneity and record process speeds are achieved through the elimination of traditional barriers like transfer arms, thanks to the single chamber approach. This innovation not only streamlines loading and unloading but also facilitates rapid temperature control, optimizing the entire process from start to finish.

2. SC-1: Redefining Coating Systems with Integration

The SC-1 redefines what's possible in coating technologies by combining ALD with Physical Vapor Deposition (PVD). This groundbreaking modular system eliminates the need for vacuum breaks, minimizing downtime and maximizing throughput. The SC-1's ability to seamlessly integrate ALD and PVD techniques within a compact framework allows for the fabrication of multinanolayered coatings. This approach improves coating quality, stability, and material properties, making it a powerful tool for industries requiring tailored functionalities and properties. The SC-1's flexibility, scalability, and quality interfaces between different layers open doors to novel materials and applications.

3. SC Qube: Precision for Research and Production

For those focused on research, development, and small-scale production, the SC Qube offers an innovative solution. With its ALD batch system, the SC Qube caters to coating various 3D parts. The system's scalable chamber can be configured to fit different types and sizes of objects while delivering exceptional coating homogeneity. The ability to integrate the SC Qube into cleanroom environments or glovebox units, along with its easy front loading and custom-made holders, makes it a versatile choice for various applications. Rapid processing, precision, and adaptability define the SC Qube's contribution to the world of coatings.



Swiss Cluster's trio of ALD products represents a journey of expertise, innovation, and a commitment to enhancing the way materials are coated. From large batch systems to integrated solutions and research-focused offerings, Swiss Cluster's ALD products cater to the unique needs of different industries. As the company continues to push boundaries and refine coating technologies, its impact on diverse sectors, from electronics to medical applications, remains profound. Swiss Cluster's dedication to revolutionizing research and production processes paves the way for novel and better materials that shape our technological future.

Home (swisscluster.com)


TSMC Marks Major Milestone: First EUV Machine Installed in Arizona Fab, Job Opportunities Open

Taiwan Semiconductor Manufacturing Co. (TSMC) has achieved a significant milestone in its Arizona manufacturing venture by installing its inaugural extreme ultraviolet lithography (EUV) machine. This advanced machine, procured from Dutch semiconductor equipment leader ASML Holding NV, is a pivotal asset for TSMC's future high-end chip production endeavors.


EUV technology is a critical aspect of semiconductor fabrication, facilitating the printing of intricate designs on microchips significantly smaller than a human hair. TSMC's achievement underscores its commitment to innovation and technological leadership.

While the installation of the EUV machine marks a remarkable accomplishment, TSMC acknowledges that the setup of the new fab in Arizona involves numerous additional tasks. The company emphasized the need for approximately 2,000 skilled workers to handle the installation of various equipment pieces and services in the complex. This requirement stems from TSMC's unique tool configurations and specifications.

TSMC, recognized as the world's largest contract chip manufacturer, is channeling substantial investments amounting to $40 billion into constructing two wafer fabs in Phoenix. The first facility will employ the advanced 4-nanometer process, while the second, already under construction, will utilize the more sophisticated 3-nanometer process. This latter technology has already entered mass production in Taiwan.

The presence of skilled workers has been a contentious topic linked to the Arizona project. TSMC Chairman Mark Liu explained that a deficiency in experts capable of properly installing equipment at the Arizona site has led to a delay in mass production, now projected for 2025 rather than late 2024.

However, TSMC's approach to addressing this shortfall has sparked debates. The company's bid to bring in around 500 Taiwanese workers on temporary E-2 visas has faced resistance from local unions, who assert that prioritizing American jobs is paramount, especially considering the significant subsidies TSMC seeks under the CHIPS and Science Act. This legislation, signed by President Joe Biden, encourages semiconductor investments in the United States.

US Senator Mark Kelly of Arizona emphasized that the visa applications will be evaluated in accordance with established laws and procedures. As TSMC navigates these challenges, its progress in Arizona remains a focal point in the semiconductor industry's dynamic landscape.

TSMC installs first EUV machine in U.S.; job opening ads posted - Focus Taiwan

An Update on Directed Self-Assembly (DSA) for Advancing Micro and Nano Fabrication

Revolutionizing fabrication, Directed Self-Assembly (DSA) innovates micro to nano devices and materials. It leverages block co-polymer morphology for precise patterns and guides micro/nano particles, enhancing manufacturing. In semiconductors, DSA addresses lithography challenges, while Imec's research showcases DSA-EUV synergy for defect-free outcomes. Complex rectification processes, illustrated by Imec, spotlight improved Critical Dimension Uniformity and Pattern Placement Error control. As DSA advances, its collaboration with EUV promises precision, efficiency, and innovation across industries.

DSA has emerged as a groundbreaking technique for mass-producing micro to nano devices and materials with precision and efficiency. This method harnesses the inherent properties of materials to assemble them into intricate structures, revolutionizing manufacturing processes across various industries.

DSA leverages block co-polymer morphology to create patterns, enhancing feature control and shape accuracy. This involves guiding the assembly of micro and nano particles to achieve desired structures, made possible by the precise control of surface interactions and polymer thermodynamics. The key advantage of DSA is its ability to create structures at remarkably small scales, enabling advancements in diverse fields.

In the semiconductor industry, DSA offers a new perspective on lithography challenges. Despite initial setbacks, DSA is being revisited to address critical issues such as stochastic defects in extreme ultraviolet (EUV) lithography. These defects, which can contribute significantly to patterning errors, have led semiconductor manufacturers to explore DSA as a solution to rectify these problems. Notably, DSA is not replacing traditional methods but rather enhancing them. It is being integrated with existing manufacturing processes to enable increased resolution and precision, all while reducing costs.

However, challenges persist in integrating DSA into high-volume manufacturing. Defect control remains a primary concern, as the technology strives to meet industry standards of minimal defectivity. Common defects include line bridging, collapse, bubbles, and dislocations. Efforts are ongoing to optimize annealing temperature, etching methods, and film thickness to reduce these defects. Another challenge is the complexity of pattern inspection, which demands accurate metrology methods. Researchers are exploring machine learning-based approaches to automate the inspection process and achieve higher throughput.

Despite these challenges, DSA is being applied to various applications beyond semiconductors. Tissue engineering benefits from the precision of directed assembly, enabling the controlled organization of cells into desired micro-structures. In nanotechnology, DSA facilitates the creation of precise nanostructures, leading to advancements in areas such as graphene nanoribbon arrays and thin-film quantum materials.

Revolutionizing EUV Lithography with Directed Self-Assembly (DSA)

EUV lithography has revolutionized semiconductor manufacturing but comes with its share of challenges, particularly in addressing line roughness and stochastic defects. DSA has now gained attention as a potential game-changer to tackle these issues in EUV lithography.

Recent research from Imec sheds light on the promising synergy between EUV and DSA in overcoming lithography challenges. In the study titled "EUV Lithography Line Space Pattern Rectification Using Block Copolymer Directed Self-Assembly: A Roughness and Defectivity Study," led by Julie Van Bel and team, the researchers explored the combination of DSA with EUV. Their findings indicate that this integration surpasses DSA processes based on Immersion lithography, offering lower line width roughness and freedom from dislocation defects.

Another study, "Mitigating Stochastics in EUV Lithography by Directed Self-Assembly," led by Lander Verstraete and collaborators, delved into the application of DSA to mitigate stochastic defects in EUV processing.

Imec's approach to rectify defects in EUV lithography involves intricate processes, as illustrated in Figures below. In the top Figure, the team outlines the process for rectifying defects in EUV Line/Space Patterns using DSA. Meanwhile, the lower Figure details the rectification process for defects in EUV Contact Patterns.


Imec's approach to rectify defects in EUV lithography involves intricate processes, as illustrated in the figures below. In the top figure, the team outlines the process for rectifying defects in EUV Line/Space Patterns using Directed Self-Assembly (DSA). Meanwhile, the lower figure details the rectification process for defects in EUV Contact Patterns. These illustrations highlight the potential of DSA in enhancing lithographic precision, addressing challenges related to line roughness and stochastic defects, and achieving improved Local Critical Dimension Uniformity (LCDU) and Pattern Placement Error control in semiconductor manufacturing.

The results are particularly promising for line/spaces at a 28nm pitch, primarily addressing bridge defects. However, at a 24nm pitch, further improvement is necessary due to an excess of bridge defects. Notably, the type and frequency of defects correlate with the formulation of the block copolymer and the duration of the annealing process.

For contact arrays, the combination of EUV and DSA demonstrates improved Local Critical Dimension Uniformity (LCDU) and Pattern Placement Error. This advancement also enables the use of a lower dose, contributing to enhanced precision and efficiency in semiconductor manufacturing.

Imec's research underscores the potential of DSA to revolutionize EUV lithography by addressing line roughness and stochastic defects. The successful integration of EUV and DSA holds the promise of enhancing semiconductor manufacturing processes, achieving higher precision, and enabling the production of advanced devices with improved quality. As researchers continue to refine these methods, the collaboration between EUV and DSA is set to shape the future of lithography and microfabrication.

In conclusion, DSA is revitalizing micro and nano fabrication by offering accurate and efficient methods for mass production. While challenges like defect control and metrology persist, DSA's potential to shape the future of industries such as semiconductors, biomedicine, and nanotechnology is undeniable. As research continues to refine DSA processes and overcome hurdles, its role in advancing technology and innovation is set to expand further.

Directed Self-Assembly Finds Its Footing (semiengineering.com)

SPIE 2023 – imec Preparing for High-NA EUV - SemiWiki

Directed assembly of micro- and nano-structures - Wikipedia

Wednesday, August 23, 2023

Silicon Carbide Empowers Power Semiconductors in Thriving Industry Transition

A paradigm shift is sweeping the power semiconductor landscape, with silicon carbide (SiC) emerging as the frontrunner. Driven by EV adoption and cost parity with silicon, SiC's ascendancy is reshaping the market. Thousands of SiC-based power semiconductor modules are already enhancing EV functions such as charging and conversion. SiC MOSFETs are supplanting silicon-based IGBTs, doubling power density and ramping switching speeds while downsizing form factors. This transformation hinges on SiC modules achieving price parity with silicon alternatives, fostering supply alliances and new SiC fabs. SiC modules play a pivotal role in the transition to 800V batteries, boosting EV adoption through faster charging and reduced costs. Despite challenges, analysts anticipate substantial SiC growth, particularly in automotive applications. This evolutionary journey towards energy-efficient power is projected to culminate in a $6.3 billion SiC power semiconductor market by 2027. 

In the pursuit of advancing silicon carbide (SiC) technology, a suite of innovative wafer process tools is being employed. These tools encompass high-temperature epitaxial growth exceeding 2,000°C, hot ion implantation, rapid thermal processing (RTP), and Atomic Layer Deposition (ALD). Noteworthy adaptations are underway in wafer grinding, chemical-mechanical polishing (CMP), as well as the formulation of abrasion-resistant polishing pads and slurries tailored for the rigid, fragile SiC substrate. The evolution also encompasses novel materials like strippers and cleaning formulations, addressing device requirements and sustainability considerations. These cutting-edge processes collectively exemplify the industry's determination to optimize SiC production, enhance its characteristics, and foster its integration into diverse applications, from electric vehicles to renewable energy systems.

Power Semis Usher In The Silicon Carbide Era (semiengineering.com)

Tuesday, August 22, 2023

Beneq invites you to ALD TechDay during SEMICON Europa 2023

Beneq invites you to ALD TechDay during SEMICON Europa 2023 in Munich this November! Discover the latest trends and applications in Atomic Layer Deposition (ALD) for specialty semiconductors. Industry leaders and experts will share insights on ALD adoption and its impact.

Don't miss this exclusive forum brought to you by SEMI and Beneq. Register now!




ASM International Unveils Cutting-Edge Training Center in Tainan, Taiwan

Dutch semiconductor equipment leader ASM International NV has established a pioneering training center at the Southern Taiwan Science Park's Tainan branch to offer intensive training for over 100 engineers employed by its clients. This newly inaugurated facility is ASM's first training center in Taiwan and signifies a significant step in enhancing semiconductor expertise. With more than 50 percent global market share in atomic layer deposition (ALD), ASM's training center introduction aims to harness virtual reality technology for hands-on learning and precision semiconductor equipment mastery. The center is poised to propel innovation and foster synergies between Taiwan and the Netherlands, two pivotal players in the semiconductor industry.


The training center will leverage cutting-edge virtual reality technologies, allowing trainees to transcend conventional learning boundaries. The utilization of online courses coupled with virtual reality tools will empower engineers to grasp the intricate aspects of precision semiconductor equipment more effectively.

Guido Tielman, head of the Netherlands Office Taipei, highlighted the strategic collaboration between the Netherlands and Taiwan in shaping the global semiconductor landscape. He underscored the significance of this training center in fortifying ties and harnessing the immense business opportunities presented by the dynamic semiconductor sector.

This venture aligns with Taiwan's thriving semiconductor ecosystem, further enriched by the presence of other industry giants like ASML Holding NV, renowned for its extreme ultraviolet lithography (EUV) photolithography machines. The Netherlands, Taiwan, and ASM International are collectively poised to leverage their expertise to excel in the competitive global semiconductor market.

ASM International's state-of-the-art training center marks a pivotal moment in the advancement of semiconductor knowledge and technology. This strategic collaboration between the Netherlands and Taiwan is set to drive innovation, propel semiconductor advancements, and foster the development of highly skilled engineers, amplifying the capabilities of the global semiconductor industry.

Dutch IC equipment supplier opens training center in Tainan - Focus Taiwan

Nanexa's Breakthrough: Lenalidomide Controlled Release Confirmed in Phase 1 Study

Nanexa AB, a pioneering pharmaceutical company, has unveiled a significant advancement in the realm of drug delivery. The company recently revealed exciting results from its Phase 1 study, designated NEX-20-01, which underscored the controlled release of lenalidomide in varying doses over a span of up to 21 days.

Atomic Layer Deposition (ALD) is a cutting-edge technique used in medical and pharmaceutical applications, particularly in the development of Nanexa's PharmaShell® technology. ALD's precision in depositing thin layers of materials plays a vital role in creating the controlled-release shell of PharmaShell®. This nanoscale engineering ensures accurate drug delivery, regulating release rates and optimizing therapeutic effects. PharmaShell® benefits from ALD's versatility, enhancing drug efficacy, minimizing side effects, and allowing tailored treatment plans. ALD's role in crafting PharmaShell® exemplifies its impact in advancing drug delivery systems, revolutionizing pharmaceutical care.


The study, conducted with great precision and care, involved nine healthy volunteers who were administered either one or two subcutaneous single injections of the innovative NEX-20A formulation. This formulation encompassed doses ranging from 15 to 35 mg of lenalidomide, with a maximum cumulative dosage of 50 mg. The outcome was nothing short of remarkable, as the observed pharmacokinetic data from the human participants correlated excellently with the projected exposure calculated from preclinical studies.

Central to the accomplishment was the remarkable release mechanism employed by Nanexa, known as PharmaShell®. This ingenious approach ensured a controlled and gradual release of the drug into the participants' bodies, creating a plasma curve that spanned an impressive 21 days. A critical observation from the study was the initial low release of the total dosage within the first day. This gradual release strategy plays a pivotal role in maintaining optimal levels of the drug in the bloodstream throughout the treatment period.

Equally encouraging was the confirmation that the total exposure of lenalidomide in the plasma—measured as the area under the curve (AUC)—escalated proportionally with the administered dose. This finding aligns with the expectations and demonstrates the efficacy of the PharmaShell® system in achieving the desired therapeutic outcomes.

Nanexa's CEO, David Westberg, expressed his enthusiasm regarding this groundbreaking achievement. "This is an important achievement for Nanexa, to once again demonstrate that we can predict the release profile of PharmaShell® in humans based on preclinical data," he shared. Westberg also revealed the company's forward-looking plans, stating, "We are now continuing to optimize the formulation and are ready to plan for the next clinical study of NEX-20 in patients. In that study, where we plan to increase the dose, we want to ensure that we will continue to minimize local reactions, and we see good results from preclinical studies on how this can be done."

Safety, of course, remains a top priority in such endeavors. Nanexa is committed to compiling comprehensive safety and tolerability data, which will be meticulously assessed following the final follow-up visits for the last dose group in October. Encouragingly, the study thus far has reported limited and transient injection site reactions, with no unexpected severe or systemic side effects. This bodes well for the continued development and progress of the NEX-20 project.

Nanexa's accomplishments in the controlled release of lenalidomide mark a significant milestone not only for the company but also for the entire field of pharmaceuticals. The ability to meticulously regulate the release profile of drugs while simultaneously minimizing undesirable side effects holds great promise for revolutionizing patient care and treatment outcomes. As Nanexa continues to pave the way with its innovative approach, the future of pharmaceuticals looks more promising than ever.

Nanexa AB - Nanexa obtains pharmacokinetic data from the NEX-20 Phase 1 study confirming controlled release of lenalidomide

Immersive Collaboration: Imitera and AlixLabs Join Forces to Transform Semiconductor Manufacturing

In a groundbreaking partnership, Extended Reality (XR) technology leader Imitera and semiconductor innovator AlixLabs are set to reshape the way industries collaborate and innovate. The collaboration aims to leverage the power of XR to enhance visualization and communication within AlixLabs' semiconductor manufacturing process.

Revolutionizing Collaboration with XR

Imitera's cutting-edge XR platform is poised to unlock a new era of collaboration for AlixLabs. By creating a virtual environment where teams can interact with atomic and molecular structures, XR technology enables live testing and seamless sharing of information. This revolutionary approach empowers teams to make decisions collaboratively, irrespective of geographical barriers.


From Virtual Atoms to Real Insights

Dr. Jonas Sundqvist, CEO of AlixLabs, expressed his amazement at the insights gained through the XR atomic level world. He likened the experience to being immersed in an IKEA ball bath as he and his team explored atomic-level structures virtually. The technology not only sparks imagination but also provides real-world benefits for dispersed teams, like AlixLabs', to engage as if they were physically present.

XR Paving the Way for Industry Innovation

The collaboration between Imitera and AlixLabs exemplifies the transformative potential of XR technology. By addressing the demand for immersive, cost-effective, and user-friendly experiences, Imitera's XR solutions have the power to drive innovation across various industries. AlixLabs' groundbreaking semiconductor manufacturing technique coupled with Imitera's XR platform showcases a new way forward for industries to connect, visualize, and innovate.

Looking Ahead

The partnership between Imitera and AlixLabs isn't just about merging technologies; it's about merging minds. With XR technology as the bridge, teams can transcend traditional barriers and engage in a shared space that fosters creativity and informed decision-making. This collaboration marks a significant step toward revolutionizing industries and shaping the future of collaboration.

In a world where physical distances are no longer limitations, the synergy between XR and semiconductor innovation is a testament to human ingenuity. As these two worlds converge, the possibilities for cross-industry collaboration and discovery are boundless.

Imitera and AlixLabs Collaborate Using Cutting-Edge XR Solution to Revolutionize the Visualization of Atomic Level Semiconductor Manufacturing - AlixLabs AB (cision.com)

Indo-Pacific Region's Pivotal Role in Global Semiconductor Industry Supply Chain

Mapping the Semiconductor Supply Chain: The Critical Role of the Indo-Pacific Region

The report underscores the Indo-Pacific region's paramount role in the complex global semiconductor supply chain. Across various stages, from design to fabrication, the report illuminates the region's indispensable contributions to the industry's functionality and the wider impact on global economics and geopolitics.

The report highlights semiconductors' critical position in the world economy, impacting sectors like electronics and infrastructure. With annual sales surpassing half a trillion dollars and a far-reaching economic impact, recent events like the semiconductor shortage, causing a $240 billion GDP reduction and halting auto production, underscore this centrality.

The analysis delves into the intricate facets of the semiconductor supply chain, spotlighting the collective influence of Taiwan, Japan, China, and South Korea. These Indo-Pacific nations specialize in semiconductor design, fabrication, and more, with the United States as a significant player, reflecting its Pacific power status and industry leadership.

The authors unravel the complexities of design, fabrication equipment, materials, and assembly. They emphasize the U.S.'s lead in design software and intellectual property. The report also uncovers the Indo-Pacific's domination of manufacturing equipment, especially by the U.S., Japan, and South Korea.

Geopolitically, the report discusses how national strategies adapt to ensure resilient supply chains and technological sovereignty. It underscores the need for collaboration, particularly in the Indo-Pacific, to build robust ecosystems that counter risks and ensure secure supply chains.

Materials and Chemicals: Cornerstones of Semiconductor Manufacturing

The semiconductor industry's core relies on vital raw and manufactured materials like silicon wafers, photomasks, and photoresists, as well as crucial chemicals in the manufacturing process. The market for these fabrication materials exceeded $40 billion in 2021, concentrated mainly in the United States, Germany, Japan, Taiwan, South Korea, and China. Taiwan claimed the largest share with 25%, while Europe and China had smaller shares. Silicon wafers, forming a significant portion of the market, are essential components for semiconductor production. Their market has evolved over two decades, with five companies now controlling 95% compared to over 20 in 1990. 


Key players in silicon wafer manufacturing include Japanese, Taiwanese, German, South Korean, and French firms. While China's presence is growing, its ability to produce 300 mm/12-inch silicon wafers remains limited. Photomasks and photoresists, integral to semiconductor fabrication, are mainly dominated by Japanese, Taiwanese, and South Korean companies. China's progress in these areas has been notable, marked by investments aimed at enhancing their capabilities. The report highlights these materials' significance within the complex semiconductor supply chain and underscores the Indo-Pacific's pivotal role in their production and distribution.

Semiconductor Manufacturing Equipment: Key Players and Indo-Pacific Dominance

Summary: The semiconductor manufacturing process relies on highly specialized equipment to create intricate integrated circuits on silicon wafers. The Indo-Pacific region dominates the semiconductor manufacturing equipment (SME) market, contributing to 77% of global SME sales. The United States and Japan lead in SME production, with South Korea also playing a significant role. The United States and Japan excel in producing wafer fabrication equipment, while Japan has a strong presence in assembly and test equipment. 

China is a major player in assembly, test, and packaging (ATP) equipment, particularly through companies like ASM Pacific. The Indo-Pacific region is home to the majority of semiconductor fabrication facilities, with China rapidly expanding its fabrication capabilities. Additionally, ATP facilities are concentrated in the Indo-Pacific region, with Taiwan, China, and Southeast Asia (including countries like Singapore, Malaysia, Vietnam, and the Philippines) being key contributors. ATP facilities encompass processes such as chip cutting, testing, and assembling, and while these processes may be less complex compared to other stages of manufacturing, they have become more intricate with the continual shrinking of transistor size and density in semiconductor chips.

In summary, the report unveils the Indo-Pacific's pivotal role in the global semiconductor industry. By dissecting the supply chain and revealing its geopolitical implications, the report serves as a vital resource for policymakers and industry stakeholders in navigating this dynamic landscape.

Tokyo Electron's Q1 2024 Earnings Call Unveils Resilient Performance and Strategic Focus

Tokyo Electron Limited (OTCPK: TOELF), a prominent semiconductor equipment manufacturer, recently held its Q1 2024 Earnings Conference Call, revealing a resilient financial performance and strategic initiatives. Key representatives including Toshiki Kawai (CEO) and Hiroshi Kawamoto (Finance Division GM) presented the company's consolidated financial summary and insights into the business environment.

Financial Highlights:
- Q1 2024 sales reached ¥391.7 billion, showing a 29.8% decline due to reduced customer WFE spending.
- Gross profit at ¥162.3 billion and operating income at ¥82.4 billion represented drops of 35.5% and 46.0% from the prior quarter, respectively.
- Tokyo Electron invested in R&D, allocating ¥43.6 billion, while capital expenditures amounted to ¥39.3 billion, reflecting its commitment to innovation and expansion.

Market Outlook:
- Tokyo Electron discussed the WFE market's projected growth to $200 billion within 2024-2025.
- The company foresees opportunities in server, leading-edge CPU, DRAM, NAND, GPU for AI, HBM, power semiconductors for EV, and more.

Fiscal 2024 Estimates:
- Financial estimates remain unchanged, with ¥580 billion and ¥690 billion projected for the first and second halves of FY 2024, respectively, in SPE new equipment sales.
- Tokyo Electron aims for record-high R&D investment of ¥200 billion and CapEx of ¥124 billion to align with growth projections.

Share Repurchase and Dividend:
- Tokyo Electron's ongoing share repurchase program bought 3,069,200 shares worth ¥60.9 billion by July 31.
- The company plans to continue repurchase up to ¥120 billion by December 31, 2023.
- A consistent dividend forecast maintains a full-year dividend per share of ¥320.

Tokyo Electron's Q1 2024 Earnings Call provided a comprehensive overview of its robust performance and strategic direction, positioning the company to capitalize on growth opportunities in the semiconductor sector.


ALD Reactor Evolution: Tracing Milestones from Suntola to Today's Technological Landscape


The short but impactful 50-year history of Atomic Layer Deposition (ALD) has been a subject of extensive research and documentation, particularly in recent years. Examining the technology's evolution through an equipment perspective reveals the crucial role played by innovative equipment design in shaping the ALD ecosystem we have today. This ALDeep Dive episode embarks on a journey through the evolution of ALD reactors, highlighting key milestones and developments.


Episode Highlights:

**00:00 - Intro:** An introduction to the ALD technology and its rapid development over the past 50 years.

**01:33 - Suntola’s 1st Reactor:** Delving into Tuomo Suntola's original ALD reactor and its significance in kickstarting the technology's journey.

**03:15 - Suntola’s Patented Configurations:** Exploring the patented configurations of ALD reactors, including the technological advancements they brought about.

**07:38 - The First Commercial Reactor:** Reflecting on the emergence of the first commercial ALD reactor and its role in driving the technology towards industrial applications.

**10:50 - Plasma, Please:** Examining the introduction of plasma into ALD reactors and how it enhanced film deposition processes.

**15:20 - Powder ALD Reactors:** Investigating the utilization of powder-based ALD reactors, which added a new dimension to thin film deposition.

**20:37 - Reintroduction of Spatial ALD:** Discussing the reintroduction of spatial ALD and its implications for achieving precise and uniform coatings.

**24:10 - Today’s ALD Tool Landscape:** Taking a look at the current landscape of ALD tools, showcasing the advancements and variety available today.

**25:43 - Concluding Thoughts:** Summing up the journey through ALD reactor evolution and emphasizing the integral role of equipment innovation in ALD's remarkable growth.

**Key Papers & Patents:**

- "Chemical Vapor Deposition," 2014, 20, 10-11-12, 332-344

- T. Suntola, J. Antson, International patent, FIN 52359, US 4 058 430

- T. Suntola, A. Pakkala, S. Lindfors, International patent, FIN 57975, US 4 413 022

- "Journal of Vacuum Science & Technology A," Vol.29, No.5, Sep/Oct 2011

- "Journal of Vacuum Science & Technology A" 25 (5) Sep/Oct 2007

- "Applied Physics Letters" 92, 192101 (2008)

- "Journal of Vacuum Science & Technology A" 30, 021502 (2012)


This insightful ALDeep Dive episode traces the evolutionary path of ALD reactors, acknowledging their critical contribution to the ALD technology we know today. From Suntola's pioneering work to the latest advancements, the journey reveals the interconnectedness of equipment innovation and ALD's remarkable progress.

Applied Materials Surges on Impressive Q3 Earnings and Bullish Guidance

Applied Materials Inc. (NASDAQ: AMAT) witnessed a robust surge of nearly 4% in after-hours trading following the release of its third-quarter financial results that significantly outperformed expectations. The semiconductor capital equipment manufacturer reported adjusted earnings of $1.90 per share on $6.43 billion in revenue for the quarter ending July 30. 

Notably, the company's strategic focus on foundry and logic revenue paid off, accounting for 79% of quarterly sales compared to 66% in the prior year's quarter. Additionally, Applied Materials generated a substantial $2.58 billion in cash from operations during this period. The company's bullish performance extended to its outlook, with fourth-quarter net sales projected at approximately $6.51 billion, accompanied by adjusted earnings per share ranging from $1.82 to $2.18. These figures comfortably exceeded analyst estimates, highlighting Applied Materials' robust position in the semiconductor industry.


Applied Materials, Inc. (AMAT) Q3 2023 Earnings Call TranscriptSA TranscriptsThu, Aug. 17

Applied Materials, Inc. 2023 Q3 - Results - Earnings Call PresentationSA TranscriptsThu, Aug. 17

TSMC's 2nm Chip Plant Faces Delays in Taichung, Water and Electricity Hurdles Cited

Taiwan Semiconductor Manufacturing Company (TSMC) is experiencing setbacks in the construction of its highly anticipated 2-nanometer chip manufacturing plant in Taichung City, Taiwan. Delays have been attributed to challenges related to water and electricity supply, crucial for the resource-intensive chip fabrication process. This development comes as TSMC seeks to expand its manufacturing capabilities amid a rapidly evolving semiconductor landscape.

aiwan Semiconductor Manufacturing Company (TSMC), a global leader in semiconductor manufacturing, is facing delays in the construction of its planned 2-nanometer chip manufacturing plant in Taichung City, Taiwan. The director of the agency responsible for managing science and technology infrastructure on the island has indicated that the construction will not commence by the end of this year.

TSMC initially intended to establish two 2-nanometer manufacturing sites in Taiwan, with the first site in Hsinchu City. However, due to delays in the approval process for the Taichung site, the company confirmed its decision to also manufacture next-generation chips in Kaohsiung City.

The primary challenges affecting the Taichung site relate to the plant's water and electricity requirements. Chip fabrication demands high-purity conditions, necessitating large volumes of pure water to meet product purity standards. TSMC has encountered obstacles in securing an adequate water supply, particularly during a 2021 drought that led the company to employ water tankers to fulfill its water needs.

These developments underscore the intricate logistical challenges inherent in semiconductor manufacturing, where resource-intensive processes require precise environmental conditions. While TSMC continues to innovate and expand its global operations, addressing these challenges becomes paramount to maintaining its position at the forefront of the semiconductor industry.

ASM International N.V. has completed the purchase of a strategic plot of land in Scottsdale, Arizona.

ASM International N.V. has completed the purchase of a strategic plot of land in Scottsdale, Arizona. This move is a significant step towards consolidating ASM's multiple sites in Arizona, positioning the company for streamlined operations and future expansion in R&D and support activities.

The decision aligns perfectly with ASM's Growth through Innovation strategy, reflecting the company's commitment to adapting and evolving in the dynamic semiconductor landscape. While specific financial details remain undisclosed, this investment contributes to ASM's targeted €150-200 million total group capex for 2023, as communicated earlier this year.

ASM International N.V., headquartered in Almere, the Netherlands, is a key player in semiconductor equipment and process solutions. With facilities spanning across the United States, Europe, and Asia, ASM International is driving innovation and growth in the industry.

For more insights, visit ASM's official website: www.asm.com

Monday, July 17, 2023

Oxford Instruments Reveals Advanced ALD Research System for Quantum Applications

Oxford Instruments, a UK-based company, has introduced the PlasmaPro ASP, a breakthrough addition to the Atomfab product line, set to redefine atomic layer deposition (ALD) research. The system features an exclusive remote-plasma source design, optimized chamber geometry, and wafer stage bias, resulting in a remarkable threefold increase in ALD deposition rates—a milestone for research efficiency.
“We have experienced high pre-launch interest from the market for the PlasmaPro ASP for superconducting quantum materials. The PlasmaPro ASP is designed to address key quantum challenges with an innovative high-rate source design and high machine up-time, as well as an intuitive software user interface called PTIQ."

“With the source design derived from our Atomfab® ALD production product, the PlasmaPro ASP retains process speed and robustness, with the inclusion of additional hardware to increase flexibility, which offers a unique solution to the quantum market to speed up development cycles.” Dr Russ Renzas, Quantum Technologies Market Manager, Oxford Instruments Plasma Technology, Americas.

The collaboration with Eindhoven University of Technology (TU/e) on the plasma source design yielded accolades, with their joint research paper receiving recognition at the American Vacuum Society’s 22nd International Conference on Atomic Layer Deposition (AVS ALD/ALE 2022) conference.


With a primary focus on quantum technology, the PlasmaPro ASP facilitates the creation of essential low-resistivity and high-Tc superconducting nitride films. The system's intuitive software interface, PTIQ, along with its adaptable source design from Oxford Instruments' Atomfab ALD production product, ensures both rapid processing and robustness.

Anticipation for the PlasmaPro ASP's launch, especially for its potential in superconducting quantum materials, underscores the imminent impact of this innovation. Collaborative efforts with TU/e will likely accelerate advancements in quantum technology and various applications like electronics and photonics.

Professor Erwin Kessels of TU/e praises the PlasmaPro ASP for its distinctive capabilities, set to invigorate diverse research and innovation prospects.

Breakthrough Ultra-fast ALD Product - Oxford Instruments (oxinst.com)

Thursday, July 13, 2023

2023 Semiconductor Materials Market Slowing but Resilient

Material growth to resume in 2024 as industry recovers and fabs ramp up

San Diego, CA, July 12, 2023: TECHCET—the electronic materials advisory firm providing business and technology information— announced that the Total Semiconductor Materials market will contract by at least -3% in 2023 given the industry wide slowdown and reported higher levels of inventory in the materials supply chain. This year’s decline will result in revenues for Semiconductor Materials to total US$69.6 billion, down from the US$71.7 reported in 2022. However, leading-edge logic and automotive/power device production will limit the overall decline in materials revenues for 2023.
TECHCET is forecasting a strong recovery in the market in 2024, with total material revenues increasing 8% to almost US$75 billion. CAGR growth over the next 5 years is forecasted to be 4%, which will result in the market reaching US$88 billion by 2027.



To read the full article, go to: https://lnkd.in/gVan5qSq

To find out more: see TECHCET at SEMICON West at NY CREATES Booth (North Hall 5845) from July 11-13. Lita Shon-Roy, Diane Scott, Dan Tracy, and Kevin McLaughlin will all be there to share market insights and industry updates. Or visit our website here: https://lnkd.in/gJQ2Gt36.

Segment summarized by TECHCET previous recent releases:

Semiconductor Metal Plating Chemicals:

The market for semiconductor metal plating chemicals is expected to experience a slight decrease of 2% in 2023. This decline is due to lower expectations for overall wafer starts and inventory corrections within the market. Copper is the largest revenue contributor in this segment, particularly in advanced packaging wiring and interconnect copper plating. However, the market is expected to rebound in the long term, with a positive compound annual growth rate (CAGR) of 3.7% for advanced packaging and 3.3% for interconnect metal chemicals.

Semiconductor Quartz Equipment Components:

After reaching an all-time high in 2022, the market for fabricated quartz equipment components is expected to decline in 2023. The slowdown is attributed to a shift in industry investments and reduced purchase orders. However, the market is buoyed by previous purchases and backlogs, leading to a projected decline of 6% in 2023. New fab expansions are expected to drive future growth, with a CAGR of 5% from 2022 to 2027.

CMP Consumables:

Following strong performance in 2022, the CMP consumables market is forecasted to experience a slight reduction of 2.4% in 2023. The decrease is primarily due to oversupply in DRAM and market corrections. However, the market is expected to achieve a 5-year CAGR of 5.2%. The demand for new metals pads and slurry is anticipated to drive growth in this segment, particularly with the development of advanced logic methodologies and the evaluation of new BEOL materials.

Electronic Gases:

The electronic gases market is expected to witness a positive 5-year CAGR of 6.4% driven by expansions within the semiconductor industry, especially in leading-edge logic and 3DNAND applications. However, supply constraints for critical gases like B2H6 and WF6 may arise as demand outpaces supply. Disruptions in gas supply from current sources and geopolitical events may lead to shortages. Helium supply is also considered at high risk. Despite these challenges, the market is projected to grow, particularly with new fab expansions and increasing demand.

Semiconductor Wet Chemicals:

Semiconductor wet chemical revenues are forecasted to decline by 2% in 2023, following the trends in wafer starts and rising energy prices. The industry slowdown and instability from the Russia-Ukraine war contribute to the market contraction. However, the market is expected to rebound in 2024, with a 5-year CAGR of 3.9%. Phosphoric acid is the strongest segment within wet chemicals due to growth in the 3DNAND market. A holistic approach to the chemical supply chain is crucial for success in this market.

ALD/CVD Precursors:

The market for ALD/CVD precursors experienced a flattening in 2023 due to reduced memory pricing in production. However, a rebound is expected in the second half of the year, driven by the recovery of memory pricing. The market for high-ƙ and low-ƙ dielectric precursors is projected to rebound significantly in 2027, with a growth rate of approximately 19%. The market will be boosted by fab expansions, logic advancements, and increasing demand for metal and dielectric precursors.