Friday, September 22, 2023

ASML's 2023 Outlook: Surging Ahead in Semiconductor Equipment Despite Challenges and Export Controls

In 2023, ASML, the leading semiconductor lithography equipment supplier, is set to achieve remarkable success, outpacing its rivals and emerging as the number 1 provider of Wafer Fabrication Equipment. Boasting an impressive 30% revenue growth forecast for the year, ASML is thriving amidst an industry landscape marked by its consistent performance. With a substantial backlog of cutting-edge Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) systems and surging demand from China, ASML's growth continues despite hurdles like supply chain disruptions and regulatory changes, ASML remains a beacon of innovation and resilience in the semiconductor sector.

By Abhishek Kumar Thakur and Jonas Sundqvist

ASML, a leading supplier of semiconductor equipment, is poised for a significant year in 2023, projected to surpass Applied Materials (AMAT) as the top provider of Wafer Fabrication Equipment. This achievement is attributed to ASML's robust revenue growth, expected to reach a remarkable 30% increase in 2023, while Applied Materials faces a decline of 20% according to Seeking Alpha*. ASML's success can be attributed to a substantial backlog of Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) systems, driven by heightened demand in China.

* Fact check: Due to strong DUV revenue and despite the increased uncertainties, ASML expects strong growth for 2023 with a net sales increase towards 30% and a slight improvement in gross margin, relative to 2022. ASML Holding revenue for the twelve months ending June 30, 2023 was $27.293B, a 25.97% increase year-over-year. AMAT revenue is estimated to increase by 2.6% to 26.33 B. Meaning ASML would pass bu end of 2023.

https://finance.yahoo.com/quote/AMAT/analysis/ 



Despite facing challenges like supply chain disruptions and a factory fire, ASML has consistently ranked among the top three semiconductor equipment suppliers since 2017. Their backlog of EUV systems, combined with growing acceptance of DUV tools, contributes to their strong performance.

However, potential headwinds include supply chain concerns, past issues like the Berlin factory fire, and looming sanctions affecting exports to China. While ASML has addressed some challenges, the possibility of US sanctions in 2024 poses a threat to its growth.

Furthermore, ASML now faces new export controls imposed by the Netherlands, impacting shipments to China. While the company downplays these controls' immediate financial impact, they are expected to affect specific DUV systems, adding to global efforts to limit China's semiconductor advancements.

In this volatile landscape, ASML's ability to adapt to evolving regulations and maintain its technological leadership will be crucial. The impact of these restrictions, especially on shipments to China, could influence the company's growth trajectory in the semiconductor industry. Despite these challenges, ASML remains a prominent player with significant potential in the semiconductor equipment market.

ASML is set to deliver the industry's first High-NA extreme ultraviolet (EUV) lithography scanner by the end of 2023, marking a significant development for advanced chip manufacturing. The Twinscan EXE:5000 pilot scanner with a 0.55 numerical aperture (NA) will enable chipmakers to explore High-NA EUV technology. This innovation is crucial for achieving an 8nm resolution, suitable for manufacturing technologies beyond 5nm nodes. Intel is expected to be the first customer, but integration and adoption details are still uncertain. This advancement requires substantial investments, with reports suggesting costs of $300-400 million per unit.

To add some colour, initially, Intel had plans to employ ASML's High-NA tools for its 18A (1.8 nm) production node, scheduled for high-volume manufacturing in 2025, aligning with ASML's Twinscan EXE:5200 delivery. However, Intel accelerated its 18A production, moving it to the latter part of 2024. This change in strategy involved the use of ASML's Twinscan NXE:3600D/3800E with two exposures and Applied Material's Endura Sculpta pattern-shaping system. The objective was to reduce reliance on EUV double patterning techniques. Applied Materials' Centura Sculpta is a pattern-shaping machine equipped with a unique algorithm that can manipulate patterns produced by an EUV scanner. It has the capability to stretch these patterns in a user-defined direction along the X-axis. This process effectively reduces the space between features and enhances pattern density. This means that moving ahead ASML and Applied Materials are entering an interesting competitive space previously not encountered.

ASMLs Products

As an background, ASML specializes in the production of cutting-edge lithography systems crucial for semiconductor manufacturing. Their product portfolio includes the following key offerings:

Extreme Ultraviolet (EUV) Lithography Machines: ASML's EUV lithography machines are at the forefront of semiconductor manufacturing technology. These machines use extremely short wavelengths of light to create intricate patterns on silicon wafers, enabling the production of advanced and smaller semiconductor chips. EUV technology is essential for next-generation processors and memory chips.

Deep Ultraviolet (DUV) Lithography Machines: DUV lithography systems are another vital component of ASML's product lineup. They use longer wavelengths of light compared to EUV and are employed for a wide range of semiconductor applications, including memory and logic chip production. ASML's DUV systems are known for their precision and reliability.

TWINSCAN Series: Within the DUV lithography category, ASML offers the TWINSCAN series, which includes machines like the TWINSCAN NXT:2000i, NXT:2050i, and NXT:2100i. These systems are designed for immersion lithography, where the wafer and the lens are submerged in a liquid, enhancing precision and resolution.

EUV High Numerical Aperture (NA) Systems: ASML has been advancing its lithography machines by increasing the numerical aperture (NA), a key parameter that affects resolution. High-NA systems are capable of printing even smaller features on semiconductor wafers, enabling the production of highly advanced chips.

ASML's lithography machines are considered critical infrastructure for semiconductor manufacturing, and the company's technological leadership in this area has positioned it as a dominant player in the industry. The company's ability to innovate and adapt its lithography systems to meet the ever-increasing demands of semiconductor manufacturers has been a key factor in its success and growth prospects. However, the recent export controls and geopolitical pressures, particularly concerning shipments to China, introduce additional challenges and uncertainties for ASML and its specialized products.

Sources:

ASML Hit With New Dutch Limits on Chip Gear Exports to China - Bloomberg

ASML To Top WFE Semiconductor Equipment In 2023, Topping Applied Materials | Seeking Alpha

ASML to ship first pilot tool in its next product line in 2023, CEO says | Reuters

ASML to Deliver First High-NA EUV Tool This Year (anandtech.com)

EUV Alternative Speeds Up Chip Production - EE Times

BALD Engineering - Born in Finland, Born to ALD: ASML Remains on Track to Deliver High NA EUV Machines in 2023

BALD Engineering - Born in Finland, Born to ALD: Netherlands' chip tool export controls take effect for DUV Lithography and ALD

BALD Engineering - Born in Finland, Born to ALD: Applied Materials’ Pattern-Shaping Technology - Centura Sculpta


Wednesday, September 20, 2023

Linköping University Researchers Uncover Challenges in Thermal ALD of Indium Nitride (InN)

Researchers from the Pedersen Group at Linköping University have investigated the limitations of thermal atomic layer deposition (ALD) for indium nitride (InN). Using quantum-chemical density functional theory calculations, they explored the adsorption process of ammonia (NH3) on InN and compared it to gallium nitride (GaN), shedding light on the challenges in InN deposition.

InN holds promise in semiconductor and electronics applications due to its distinctive properties. It boasts a high electron mobility, exceeding that of many other III-nitride materials, rendering it suitable for high-frequency electronic devices like transistors and amplifiers. With a narrow bandgap of around 0.7 eV, InN finds applications in infrared photodetectors and optoelectronic devices. Despite challenges in thermal stability during deposition, it exhibits good stability when appropriately processed, making it valuable in high-temperature electronics. Its high electron velocity enhances the performance of high-speed field-effect transistors. InN also shows potential in energy-efficient electronics and gas sensing applications, furthering its significance in the semiconductor and electronics industry.

The deposition of indium nitride (InN) using CVD is challenging due to its low thermal stability, which limits the use of high-temperature processes. ALD is an alternative method that can operate at lower temperatures. While ALD has been successful in depositing materials like aluminum nitride and gallium nitride (GaN) using ammonia as a nitrogen precursor in thermal processes, InN can only be deposited using plasma ALD. This suggests a limitation to thermal ALD with ammonia for InN.




Gibbs free energy profile for the adsorption of NH3 onto InN and GaN at 500 (a) and 800 K (b).

Researchers used quantum-chemical density functional theory calculations to investigate the adsorption process of ammonia (NH3) on both GaN and InN surfaces. They aimed to understand if differences in this process could explain why thermal ALD of InN is challenging. Their findings revealed a similar reactive adsorption mechanism on both materials, where NH3 adsorbs onto vacant sites created by the desorption of methyl groups from the surfaces. However, the energy barrier for this adsorption process was significantly higher on InN compared to GaN, indicating that the process is much slower on InN.

This slow kinetics would hinder NH3 from effectively adsorbing onto InN during the ALD growth process, making thermal ALD with InN using NH3 impractical. As a result, the only alternative to a fully thermal ALD process for InN appears to be using a different precursor system due to InN's thermal instability.

Source: On the limitations of thermal atomic layer deposition of InN using ammonia | Journal of Vacuum Science & Technology A | AIP Publishing

Plasma-Therm Strengthens Power Electronics Presence with Acquisition of Thin Film Equipment SrL

Plasma-Therm, a prominent manufacturer of plasma-process equipment for the semiconductor industry, has announced its acquisition of Thin Film Equipment SrL (TFE) on September 18, 2023. TFE, based in Binasco, Italy, specializes in supplying sputtering equipment for semiconductor research and production, particularly in physical vapor deposition (PVD) sputtering and evaporation process equipment and high purity materials for thin film applications.

This acquisition is part of Plasma-Therm's strategy to expand its presence in Europe and strengthen its position in the power device market. TFE's suite of PVD tools, catering to MEMS, Power, RFID, and other semiconductor applications, complements Plasma-Therm's existing product portfolio in etch and deposition. Additionally, TFE's expertise in PVD technology enhances Plasma-Therm's customer service and support capabilities.

The power semiconductor market is expected to grow substantially, reaching $6.3 billion by 2027, according to The Yole Group's "Power SiC 2022" report. Plasma-Therm is well-positioned to support this growth with the acquisition of TFE and its MRC Eclipse product line.

TFE will continue to operate independently but will collaborate closely with Plasma-Therm to offer a more comprehensive range of plasma and PVD process technology solutions to customers. This acquisition will also enable both companies to expand their R&D resources and global customer service and support teams.

Plasma-Therm is a global manufacturer of advanced plasma processing equipment, serving various industries, including wireless, power devices, MEMS, photonics, advanced packaging, and data storage. It has locations in North America, Europe, and Asia-Pacific.

TFE SrL, founded in 1996, is a leading supplier of sputtering equipment for R&D and production, known for its flexibility, reliability, process knowledge, and a large worldwide installed base.

Source: Plasma-Therm Announces Acquisition of Thin Film Equipment (globenewswire.com)

Tuesday, September 19, 2023

Aixtron’s G10-SiC CVD System Supports GlobiTech’s SiC Epitaxy Expansion

  • GlobiTech Inc produces silicon carbide (SiC) and silicon epitaxial wafers, primarily focusing on serving the power and electric vehicle (EV) market segments.
  • GlobiTech Inc's production facilities are located in Sherman, Texas, USA.

Aixtron SE is aiding Texas-based silicon-epitaxy foundry GlobiTech Inc's entry into the silicon carbide (SiC) epitaxy market. The G10-SiC chemical vapor deposition (CVD) system from Aixtron has enabled GlobiTech to rapidly scale SiC epitaxy production in response to growing demand for power epiwafers. Featuring dual wafer sizes (9x150mm and 6x200mm), it offers high throughput per fab space. GlobiTech's expansion confirms the trend of SiC replacing silicon in various applications. Both firms have enjoyed a fruitful partnership, with Aixtron's tools maximizing wafer output. The G10-SiC is projected to be Aixtron's top-selling product in 2023.



Aixtron G10-SiC (Source Aixtron.com)

Source: AIXTRON Pressemeldungen :: AIXTRON

Recent Blog posts on SiC:

BALD Engineering - Born in Finland, Born to ALD: SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

BALD Engineering - Born in Finland, Born to ALD: SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

BALD Engineering - Born in Finland, Born to ALD: Samco launches new ICP Tornado Plasma ALD system

BALD Engineering - Born in Finland, Born to ALD: ASM International has completed the acquisition of Italian Silicon Carbide Equipment Manufacturer LPE S.p.A.

BALD Engineering - Born in Finland, Born to ALD: Chemistry paves the way for improved electronic materials - LiU have developed a new molecule that can be used to create high-quality indium nitride

Background:

  • Silicon carbide: from gold rush to commodity?1, which provides an overview of the global SiC market and its predictions for the future of the technology. It discusses the growth rate, size, and drivers of the SiC device market, as well as the competitive landscape and supply chain of the SiC industry. It also analyzes the challenges and opportunities for SiC technology in different applications, such as automotive, industrial, energy, and telecommunications. It also compares and evaluates SiC with other wide bandgap materials, such as gallium nitride (GaN) and diamond.
  • The 2023 global fab landscape: opportunities and obstacles2, which considers the state of the global semiconductor fab market in a post-COVID world. It discusses the emerging business models that could enable the semiconductor industry to migrate to leading-edge and mature technology with optimal manufacturing capacity. It also examines the impact of COVID-19, trade wars, and geopolitical tensions on the semiconductor supply chain and fab investments. It also explores the trends and innovations in semiconductor materials, devices, and modules, such as silicon carbide (SiC), gallium nitride (GaN), and quantum computing.




AIXTRON's MOCVD Machine Advancing 2D-Materials at imec

AIXTRON, a German semiconductor equipment manufacturer, has successfully installed the CCS 2D Metal-Organic Chemical Vapour Deposition (MOCVD) reactor at the Interuniversitair Micro-Electronica Centrum (imec) in Belgium. Part of the 2D Experimental Pilot Line initiative, this reactor facilitates large-scale epitaxial deposition of 2D-materials on 200/300 mm wafers, marking a significant milestone in the transition of these materials from lab to fab.

Inge Asselberghs, Director of the 2D Experimental Pilot Line at imec, emphasizes the unique position of imec as a bridge between academia and industry, offering access to both fundamental research laboratories and industrial infrastructure.

Salim El Kazzi, 2D Product Manager at AIXTRON, outlines the challenges of the lab-to-fab transition, including miscommunication and the technical intricacies of 2D-materials. AIXTRON addresses these challenges by fostering collaboration among top researchers and providing FAB-compatible reactors like the CCS 2D.

To bridge the gap effectively, Asselberghs highlights the importance of versatile tools for rapid material and process screening, crucial for seamless information exchange between industry and academia.

The CCS 2D MOCVD machine from AIXTRON offers precise control over deposition parameters and features like closed-coupled shower heads and a transfer module for 2D-material growth on 300 mm wafers.


This installation promises exciting prospects for imec's research on transition metal dichalcogenides (TMDCs) and advances in pilot-line processes for 2D-material growth and layer transfer. Both AIXTRON and imec actively participate in Graphene Week 2023, contributing to the advancement of 2D-materials in industrial applications and strengthening the link between academia and industry.

Source: AIXTRON installs a MOCVD machine CCS 2D in IMEC’s fab | Graphene Flagship (graphene-flagship.eu)

Friday, September 15, 2023

Tokyo Electron Integrated Report/Annual Report 2023 available for download

Tokyo Electron (TEL) issues an integrated report for the purpose of reporting our medium- to long-term profit expansion and continuous corporate value enhancement to their stakeholders.

As they celebrate their 60th anniversary this year, the 2023 report looks back at the history of our business expansion. It also details our efforts to continuously create value by the value chain of their business activities anchored around material issues, in conjunction with their sustainability initiatives.

For anyone involved in the semiconductor industry or those eager to gain fresh perspectives in this dynamic field, this report is a must-read. It not only showcases TEL's history and strategies but also sheds light on industry trends, sustainability practices, and the exciting developments shaping the future of semiconductor technology. Dive into this comprehensive report and unlock valuable knowledge about TEL's journey and the semiconductor industry at large.


TEL also have great training material and a Nanotech Museum:





Wednesday, September 13, 2023

Global Fab Equipment Spending to Rebound in 2024 After 2023 Slowdown, Predicts SEMI Report

Global fab equipment spending is anticipated to decline by 15% in 2023, dropping to $84 billion from the record high of $99.5 billion in 2022. However, a recovery of 15% to $97 billion is expected in 2024, driven by the end of a semiconductor inventory correction in 2023 and increased demand in high-performance computing (HPC) and memory segments. The foundry segment will lead the industry's expansion in 2023 with $49 billion in investments, while memory spending is set to make a strong comeback in 2024 with a 65% increase to $27 billion. 



Taiwan will remain the top region for fab equipment spending in 2024, with $23 billion, followed by Korea with $22 billion, and China in third place at $20 billion. The Americas and Europe/Mideast regions are also expected to see increased investments, while capacity growth in the global semiconductor industry is forecasted to continue, rising by 6% in 2024.

Intel to Sell 10% Stake in IMS Nanofabrication to TSMC for $4.3 Billion

Intel will sell a 10% stake in IMS Nanofabrication to TSMC, valuing IMS at $4.3 billion, maintaining Intel's majority ownership. IMS leads in multi-beam mask writing tools for advanced extreme ultraviolet lithography, crucial for AI and mobile applications. This investment enhances IMS' independence and fosters innovation, including high-numerical-aperture EUV technology. The deal is set to close in Q4 2023. IMS is vital for semiconductor industry growth, with the market projected to reach $1 trillion by 2030. Intel acquired IMS in 2015 and sold a 20% stake to Bain Capital earlier in 2023, while TSMC's partnership with IMS dates back to 2012.


About IMS Nanofabrication

IMS Nanofabrication Global, LLC, a majority-owned subsidiary of Intel Corporation, is the global technology leader for multi-beam mask writers. Its customers are the largest chip manufacturers in the world, who rely on its technology to produce current and future chip generations. IMS’ innovative multi-beam writers play a key role in chip manufacturing and provide significant added value to the semiconductor industry. They are continually customized and refined by an interdisciplinary team, in line with the latest market demands. Over the last 10 years, IMS has perfected its electron-based multi-beam technology. The first-generation multi-beam mask writer, MBMW-101, is successfully operating all over the world. The second-generation multi-beam mask writer, MBMW-201, entered the mask writer market in the first quarter of 2019 for the 5nm technology node. And this year, IMS is launching MBMW-301, a fourth-generation multi-beam mask writer that delivers unprecedented performance. Learn more at www.ims.co.at/en/.

Monday, September 11, 2023

Exploring SMIC's 7nm Semiconductor Advancements: Technology, Dimensions, and Future Prospects

The recent introduction of Huawei's Mate 60 Pro smartphone, featuring a 7 nm chip from Semiconductor Manufacturing International Corp. (SMIC), has raised questions about the authenticity of SMIC's technological strides and their implications. This summary dives into the heart of SMIC's 7 nm technology, shedding light on its dimensions, technological intricacies, challenges, and the outlook for the future.

However, it has been known for some time that SMIC has been developing at putting out 7 nm chips, and an early 2022 assessment published at Seeking Alpha can be found here: Applied Materials: SMIC Move To 7nm Node Capability Another Headwind (NASDAQ:AMAT) | Seeking Alpha

The SMIC 7 nm Technology Debate

Central to the debate surrounding SMIC's technology is the classification of whether it genuinely qualifies as 7 nm. Parameters such as Fin Pitch (FP), Contacted Poly Pitch (CPP), and Metal 2 Pitch (M2P) are scrutinized. While SMIC's FP pitches are larger than TSMC's 10 nm, its CPP and M2P dimensions match TSMC's 10 nm, creating a complex classification.

SMIC appears to have a serviceable first generation 7nm process now with a reasonable prospect to get to second generation 7nm/6nm in the near futures. 5nm and 3nm while theoretically possible would be highly constrained and expensive process versions if pursued due to the lack of EUV. - Scotten Jones, SemiWiki (LINK)

Design Technology Co-Optimization (DTCO) Features

SMIC's 7 nm process introduces Design Technology Co-Optimization (DTCO) features uncommon in traditional 10 nm processes. Notably, SMIC's track height is smaller than TSMC and Samsung's 10 nm processes, approaching 7 nm-class characteristics. These features add to the nuanced evaluation of SMIC's technological position.

Cell Density and Cut Masks

SMIC's high-density logic cell boasts an impressive 89 million transistors per millimeter squared, akin to Samsung and TSMC's first-generation 7 nm processes. This suggests that SMIC's technology aligns with the 7 nm category, though the debate on its dimensions continues. Notably, SMIC's process introduces larger Contacted Poly Pitch (CPP) dimensions, hinting at potential performance challenges that necessitated this adjustment.

The EUV Challenge and Future Prospects and Alternative Technologies

SMIC's journey toward further technological advancements faces significant hurdles due to the unavailability of extreme ultraviolet lithography (EUV) systems in China. EUV technology plays a pivotal role in pushing semiconductor boundaries. However, ongoing US restrictions on EUV system shipments to China constrain SMIC's options for achieving cutting-edge technology.

Self aligned multi patterning (SAMP) in Advanced Logic Semiconductor Manufacturing

In advanced logic semiconductor manufacturing, addressing the challenges posed by sub-5 nm nodes and dense metal layers is essential. SMIC can consider alternative technologies like Atomic Layer Deposition (ALD) and Directed Self-Assembly (DSA) to overcome these hurdles.

ALD stands out for its precision in depositing thin films, allowing for the creation of ultra-thin etch masks, spacers, and precise control over critical dimensions. On the other hand, DSA leverages materials' self-assembly properties to form predefined patterns, effectively dividing pitch sizes and simplifying lithography masks.

Incorporating ALD and DSA into semiconductor manufacturing processes has the potential to enhance the capabilities of immersion lithography, enabling smaller nodes without the need for EUV lithography. While these technologies require further research and development, they offer promise in helping semiconductor manufacturers advance their technology and remain competitive, particularly in the absence of EUV lithography equipment.

Together with self-aligned multi-patterning (SAMP) techniques like self-aligned double patterning (SADP), self-aligned quadruple patterning (SAQP), and self-aligned litho-etch-litho-etch (SALELE), these alternative approaches provide SMIC with a range of options to navigate the complexities of advanced semiconductor manufacturing, ultimately shaping the future of Chinese advanced chip fabrication.

The Future of Nanoimprint Lithography: High-Volume Production Possibilities

Nanoimprint lithography (NIL) offers potential for high-volume production with sub-10 nm resolution, revolutionizing semiconductor manufacturing. TEL and Canon have showcased NIL's sub-10 nm capabilities, making it suitable for multiple memory generations using a single mask. Challenges like edge placement errors (EPE) are addressed through precision techniques like Quasi-Atomic Layer Etch (Quasi-ALE). To achieve aggressive scaling targets, overlay accuracy and critical dimension uniformity (CDU) management are vital. NIL's simplicity and cost-effectiveness make it a promising contender, with ongoing development poised to refine its integration into semiconductor fabrication.

Future Outlook for SMIC and China

SMIC's path forward may involve alternative fabrication technologies such as ALD, DSA, and NIL. that offers the potential for high-volume production with sub-10 nm resolution.

By mastering and integrating these advanced technologies into semiconductor manufacturing could potentially expand immersion lithography's capabilities, accommodating smaller nodes without depending on EUV lithography. While further research and development are essential, these technologies offer potential pathways for SMIC to advance its fabrication processes and sustain competitiveness, particularly in the absence of EUV lithography equipment. These strategies, alongside self-aligned multi-patterning techniques, stand to influence the future of advanced chip fabrication in China beyond 7 nm. 

The answer is as always - it depends. For how long will China have access to also Immersion Lithography? Will top tier OEMs be allowed to continue to export ALD and other process technology needed to China? What will be the cost using higher complexity and additional masks needed for SAQP (cut masks)? Is Huawei's limited chip demand, as compared to Apple & Co, enough to pay for the R&D needed? Will Chinese state support cover the development and fab expansion cost? 

Sources:

193i Lithography Takes Center Stage...Again (semiengineering.com)

Does SMIC have 7nm and if so, what does it mean - SemiWiki

Look Inside Huawei Mate 60 Pro Phone Powered by Made-in-China Chip - Bloomberg

BALD Engineering - Born in Finland, Born to ALD: The Future of Nanoimprint Lithography: Exploring Possibilities and Challenges for High-Volume Production

BALD Engineering - Born in Finland, Born to ALD: Comparison confirms that SMIC reaches 7nm without access to western equipment & technologies

Applied Materials: SMIC Move To 7nm Node Capability Another Headwind (NASDAQ:AMAT) | Seeking Alpha

Saturday, September 9, 2023

Unlock the Future of Materials and Products: Join the MERCK ALD and ALE Innovation Webinar!

Join us for an exciting event on October 18, 2023: "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)." Presented by Sergei Ivanov, Senior R&D Manager at Merck KGaA, and Martin McBriarty, Senior Scientist, this webinar promises groundbreaking insights into the world of materials and product innovation.

Event Details:

Date: October 18, 2023

Time: 4:00 pm - 5:00 pm CET

Host: Laith Altimime, President of SEMI Europe

Agenda:

- 4:00 pm CET - Welcome remarks by Laith Altimime, President, SEMI Europe.

- 4:05 pm CET - "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)" by Sergei Ivanov & Martin McBriarty.

- 4:45 pm CET - Q&A session moderated by Laith Altimime, followed by conclusions from all speakers.

About the Speakers:

Sergei Ivanov: Sergei is the Senior R&D Manager in the Organometallics division of Thin Films Business. He leads a research program focused on developing novel precursors for the deposition of metal, metal nitride, and metal oxide films. Sergei holds a PhD in Inorganic Chemistry from Kurnakov Institute of Russian Academy of Sciences and a B.S. in Chemical Engineering from Mendeleev University of Chemical Technology.

Martin McBriarty: Martin is a Senior Scientist leading the development of atomic layer etch and other vapor-phase etch methods. He earned his B.S. in Materials Science & Engineering at the University of Florida and his Ph.D. in the same field at Northwestern University. Martin joined Intermolecular in 2018 after completing postdoctoral research at Pacific Northwest National Laboratory.



ATLANT 3D Hosts Workshops Unveiling Atomic Layer Advanced Manufacturing Innovation

Atlant 3D will hold a series of workshops happening from October 7th to 13th. We Open Door to ATLANT 3D to share our cutting-edge innovation in atomic layer processing, and we will show you insights on how atomic layer processing will become an atomic layer advanced manufacturing platform!
ATLANT 3D technology is our proprietary Microreactor 

Dive Deep into the World of Atomic Precision with ATLANT 3D!
Event by ATLANT 3D

Oct 7, 2023, 9:00 AM - Oct 13, 2023, 4:00 PM (CET)

Mårkærvej 2b, Taastrup, Region Hovedstaden, DK, 2630


Direct Atomic Layer Processing (μDALP), which works by sequentially applying reactive gases to a surface via a microreactor/micronozzle and generating self-controlled chemical reactions on the surface. Repeating this process in cycles enables rapid, direct, multi-material, atomically precise patterning and structuring on simple and complex surfaces, including semiconductor wafers and surfaces with existing structures and devices. Integrating multiple micronozzles in a single printhead allows increasingly complex structures to be fabricated through the simultaneous use of different materials and printing resolutions. 

ATLANT 3D equipment is built as modular units which gives compatibility across different platforms and technologies. It can work as a production line based on process modules and as a cluster tool-compatible module unit.



SkyWater Installs Advanced Atomic Layer Deposition System from Picosun

SkyWater Technology (SkyWater Technology | U.S. Semiconductor Manufacturer) has announced the installation of an Atomic Layer Deposition (ALD) system, the Applied Picosun MorpherTM, to offer advanced semiconductor processing capabilities to its customers. ALD is a precise method for depositing ultra-thin, uniform, and conformal material layers, making it ideal for applications like sensors and emerging memory technologies. SkyWater's Technology as a Service (TaaS) model allows customers to access this technology for developing and producing innovative technologies. The ALD tool has two thermal batch chambers for depositing metals and oxides, ensuring high-quality and repeatable results. This move aims to meet the increasing demand for ALD applications in semiconductor processing, particularly in areas such as biosensors, photonics, and extreme CMOS applications.


SkyWater Minnesota Fab (SkyWater)

SkyWater Technology is a leading U.S.-based semiconductor manufacturer with a unique Technology as a Service (TaaS) model. They offer a wide range of semiconductor solutions, serve various industries, and emphasize innovation and quality. Their expertise spans diverse semiconductor categories, from mixed-signal CMOS to photonics, and they are accredited as a Category 1A Trusted Foundry by the Defense Microelectronics Activity (DMEA).


The Morpher ALD tool is designed for precise and controlled deposition of thin material layers, making it suitable for various semiconductor applications. It is configured with two thermal batch chambers, one for depositing metals and another for depositing oxides, allowing for flexibility and efficiency in semiconductor fabrication processes. This tool is being installed at SkyWater Technology to provide their customers with access to ALD capabilities for developing and producing advanced semiconductor technologies.


Thursday, September 7, 2023

AIXTRON Unveils G10-GaN Cluster Solution for Power Electronics Market

AIXTRON SE has unveiled the G10-GaN cluster solution for high-volume manufacturing of Gallium Nitride (GaN) power and RF devices. The platform delivers superior performance, a compact design, and cost-efficiency. Dr. Felix Grawert, CEO of AIXTRON, highlighted its potential in reducing global CO2 emissions and its growing demand in applications like mobile fast chargers, data centers, and solar energy. The system improves material uniformity, extends equipment uptime, and offers a 25% cost reduction per wafer, making it a significant advancement in semiconductor technology.

Herzogenrath, September 6, 2023 – AIXTRON SE, the semiconductor industry's leading deposition equipment supplier, has introduced its latest innovation, the G10-GaN cluster solution for Gallium Nitride (GaN) based power and radio frequency (RF) devices. This groundbreaking platform is making its debut at SEMICON Taiwan (September 6-8, 2023) in Taipei, offering unparalleled performance, a compact design, and exceptional cost-efficiency.

The cluster can be equipped with up to three process modules, delivering a record capacity of 15x200 mm wafers thanks to Planetary batch reactor technology – enabling a 25 percent cost reduction per wafer compared to previous products. LINK

Dr. Felix Grawert, CEO and President of AIXTRON SE, proudly announced, "Our new G10-GaN platform has already received qualification for high-volume production of GaN Power devices from a prominent US device manufacturer. It delivers double the productivity per cleanroom area compared to our previous product, enhances material uniformity, and provides a competitive edge to our customers."

GaN-based technologies are gaining importance in the drive to reduce global CO2 emissions due to their significantly more efficient power conversion capabilities compared to traditional silicon (Si). GaN can reduce power losses by a factor of two to three. Dr. Grawert noted, "We anticipate continuous growth in the GaN market throughout this decade and beyond. GaN has already replaced silicon in fast chargers for mobile devices, and we are witnessing rising demand in data centers and solar applications."

AIXTRON has been at the forefront of GaN on Si process and hardware development for over two decades. The company's AIX G5+ C planetary reactor, known for being the first fully automated GaN Metal-Organic Chemical Vapor Deposition (MOCVD) system with In-Situ Cleaning and Cassette-to-Cassette automation, is now an industry-standard tool for GaN power production. The new G10-GaN cluster solution builds upon this legacy, elevating every performance metric.

Designed to maximize cleanroom space, the G10-GaN features innovative reactor inlets that enhance material uniformity, resulting in optimized device yields. The platform incorporates on-board sensors, a new software suite, and fingerprint solutions to ensure consistent performance across runs, even between maintenance cycles, extending equipment uptime by over 5% compared to the previous generation.

The cluster can accommodate up to three process modules, boasting a record capacity of 15x200 mm wafers thanks to Planetary batch reactor technology. This enables a remarkable 25% reduction in cost per wafer compared to previous products, a factor that promises significant savings for manufacturers.

AIXTRON's G10-GaN cluster solution positions the company as a key player in the ever-evolving landscape of GaN-based power and RF devices, furthering its commitment to advancing semiconductor technology for a sustainable future.

Wednesday, September 6, 2023

ASML Remains on Track to Deliver High NA EUV Machines in 2023

ASML, the leading semiconductor equipment manufacturer, is set to ship the first pilot tool from its next product line in 2023, despite some supplier delays, according to CEO Peter Wennink. These High NA EUV machines, crucial for top chipmakers to create smaller and better chips in the coming decade, will cost over $300 million euros each and provide up to 70% better resolution. ASML currently dominates the lithography market, a pivotal step in chipmaking, and is seeing strong demand for its older DUV machines, with 30% sales growth forecasted in 2023, primarily driven by Chinese customers.

ASML's High NA EUV machines are used by a range of prominent semiconductor manufacturers, including TSMC, Intel, Samsung, SK Hynix, and Micron. These chipmakers rely on ASML's cutting-edge lithography equipment to manufacture semiconductor chips, from microprocessors to memory chips.

"High NA" stands for "High Numerical Aperture." Numerical Aperture (NA) is a measure of the ability of an optical system, such as a lens or mirror, to gather and focus light. A higher numerical aperture indicates a greater ability to capture light and provide finer detail and resolution in imaging or lithography processes. ASML's High NA EUV machines, are designed to gather light from a wider angle compared to their previous generation tools. This wider angle collection of light allows for significantly improved resolution in the semiconductor manufacturing process, making it possible to create smaller and more advanced semiconductor chips with greater precision required for the Ångström Era - basically the sub 2 nm nodes.

Source:





TSMC's Silicon Photonics Investment Boosts AI Chip Efficiency for ChatGPT

Taiwan Semiconductor Manufacturing Co. (TSMC) is heavily investing in silicon photonics, combining silicon chips and optical tech to enhance AI applications like ChatGPT. TSMC, the world's top contract chipmaker, aims to improve AI chip performance through silicon photonics, addressing energy efficiency and computing power issues. This technology integrates optics with silicon-based circuits for high-speed, low-power data transmission. Silicon photonics attracts substantial investment across the semiconductor industry, impacting data centers, supercomputers, networking, and more. TSMC is developing integrated silicon photonics systems with advanced chip packaging technology but has not yet entered mass production. The global silicon photonics market is projected to grow to $7.86 billion by 2030.

Source:

Tuesday, September 5, 2023

Revolutionizing Pharmaceutical Packaging and Labware: ALD Technology Enhances Material Properties

Picosun, an Applied Materials company, is proud to announce a breakthrough in enhancing the properties of packaging materials and labware using Atomic Layer Deposition (ALD) technology. ALD offers impermeable barriers and functional surfaces, revolutionizing pharmaceutical packaging and labware for the better.

Date: 12th December Time: 3:00 PM London / 10:00 AM New York

As pharmaceutical packaging evolves to accommodate biological drugs and novel packaging solutions, ALD technology steps in to provide ultra-thin, uniform, and precise material layers with atomic-level thickness and composition. This innovation caters to the demands of primary packaging materials and labware, offering impermeable barriers against leachables and ensuring biocompatibility.

Join us for an insightful webinar to explore how ALD can elevate the performance of packaging materials and labware. Stay ahead in the world of pharmaceutical and medical advancements!

Register now to secure your spot and gain valuable insights. Stay at the forefront of innovation with Picosun and ALD.




"Micron to Produce Advanced Memory Chips in Taiwan Using EUV Lithography by 2025, Reinforces Commitment to Island's Semiconductor Industry"

Micron Technology is set to begin producing memory chips in Taiwan using advanced EUV lithography technology by 2025, ahead of its other production sites. The company's local division head, Donghui Lu, confirmed this move and emphasized that Taiwan remains a top investment destination for Micron. The collaboration with Japanese and Taiwanese companies facilitated the development of this technology. 

Micron's decision to produce HBM type memory in Taiwan highlights the island's significance in its operations, accounting for up to 65% of production volumes. The advantageous Taiwanese infrastructure and the company's commitment to geographic diversification contribute to its expanding and modernizing operations. This move underscores Micron's dedication to innovation and maintaining a competitive edge in the semiconductor industry.

Source: Aroged: Micron will begin producing memory in Taiwan using EUV lithography by 2025 - Aroged



Monday, September 4, 2023

Netherlands' chip tool export controls take effect for DUV Lithography and ALD

The Netherlands has enacted new export controls on cutting-edge chipmaking technology, joining the US and Japan in regulating these crucial tools. The new move, effective from September 1, targets advanced chip manufacturing equipment used in processes like deep ultraviolet (DUV) lithography and atomic layer deposition (ALD) - an add-on to previous EUV Lithography export restrictions. 

Dutch company ASML, a global leader in chipmaking equipment, will continue shipments of some advanced machines this year, but starting January 1, it expects limited export licenses for systems bound for domestic Chinese customers. 
The Dutch government says it is a matter of the country's security. "We've taken this step on national security grounds," Liesje Schreinemacher, the minister for foreign trade and development cooperation, said of the new rules.
ASM International, a Dutch company, holds a significant position in the ALD market. Renowned for its ALD equipment, ASM International plays a vital role in semiconductor manufacturing by enabling precise and thin material layering on wafers. This technology is essential for advanced chip production, impacting various industries. Its role emphasizes the impact of export controls on crucial semiconductor processes and global technology supply chains.




The regulations, driven by national security concerns, cover tools vital for producing memory chips, processors, and sensors. Chinese chipmakers have reportedly accelerated purchases of equipment ahead of the rules taking effect. China's responses include its own export curbs and efforts to bolster domestic semiconductor capabilities. The situation reflects the evolving landscape of technology export controls and their impact on international supply chains.

Source:

Friday, September 1, 2023

Unlocking MEMS Manufacturing Excellence: Dive into ALD's Potential!

Discover the transformative power of Atomic Layer Deposition (ALD) in MEMS manufacturing! Join the SEMI and Forge Nano virtual event on October 11, 8:00 am PT, as we explore ALD's role in enhancing performance and efficiency in micro-fabrication. Delve into dynamic ALD techniques, precision film deposition, and how it fuels high-volume manufacturing. Hear from experts like Matt Wiemer of Forge Nano on tuning film properties and its application in evolving MEMS architectures. Don't miss this opportunity to assess ALD's compatibility with your MEMS processes. 

Register now at the link below and unlock new dimensions in MEMS manufacturing!




SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

Strategic Shift to 8-Inch Wafers: Opportunities and Challenges Shape SiC's Next Phase

According to Yole, the Silicon Carbide (SiC) market is on a robust trajectory, poised to reach nearly $9 billion by 2028, driven by a dynamic blend of growth factors. The automotive sector, particularly Battery Electric Vehicles (BEVs) and 800V EV systems, spearheads this expansion. SiC's prominence extends to EV DC chargers, offering immense potential for high-power modular chargers. Additionally, SiC plays a pivotal role in energy supply, with installations expected to surge between 2022 and 2028. Industrial power supplies, motor drives, and rail systems further diversify SiC's applications. This Yole report underscores SiC's prowess in high-efficiency power electronics across industries, shaping a transformative market landscape.

The report indicates that as of 2023, the mainstream SiC wafer size for leading players is still 6 inches. However, there are strategic discussions and plans surrounding the transition to 8-inch (200 mm) SiC wafers. While Wolfspeed is currently the only player producing SiC devices on the 8-inch platform, other companies have announced their intention to follow suit. The adoption of 8-inch wafers presents both opportunities and challenges.


Challenges associated with 8-inch wafer production include higher costs, longer equipment lead times, potentially lower initial yield rates due to the larger size, and concerns about the availability of these wafers. Wolfspeed's early adoption of 8-inch wafer production reflects their proactive stance in developing the technologies and processes required to overcome these challenges.



The transition to 8-inch wafers is an area of focus due to the potential advantages it offers, such as increased capacity and improved cost efficiency over time. However, the challenges mentioned earlier are critical factors that need to be addressed for a successful transition. Companies are investing in innovative approaches and strategies to ensure a smooth migration to the 8-inch platform, which has the potential to impact the SiC power devices market significantly in the coming years.

Yole Group - Follow the latest trend news in the Semiconductor Industry

Thursday, August 31, 2023

Metal Plating Chemicals Revenues to Boost into 2024

Growth driven by developments in leading-edge logic and memory

San Diego, CA, August 31, 2023: TECHCET—the electronic materials advisory firm providing business and technology information— reports that revenues for the Semiconductor Metal Plating Chemicals market will rise to USD $1,047M in 2024, a 5.6% increase from the forecasted USD $992M for 2023. The largest revenues for 2024 are forecasted for copper plating chemicals used for device-level interconnect and advanced packaging wiring, as explained in TECHCET’s newly released Metal Chemicals Critical Materials Report. The 5-year CAGR’s for 2022-2027 are expected to remain on an upward track, with 3.5% growth for advanced packaging and 3% for copper device interconnects.
“Increased usage of advanced packaging, redistribution layers, and copper pillar structures are all factors contributing to the growth of the metal chemicals market segment,” states Dr. Karey Holland, Chief Strategist at TECHCET.


A potential risk factor for the metal chemicals market is increased lead times and price increases for electronic chemicals. Fabs and plating chemical suppliers are not reporting any difficulty obtaining metals for semiconductor plating in 2023, however, shortages may occur in the future. Geopolitical tensions with China, for instance, may hinder the availability of tin that is mined there. Similarly, nickel imported from Russia and Ukraine may face supply constraints.

To read the full article, go to: https://lnkd.in/gqdUHRuM

For more details on the Semiconductor Metal Plating Chemicals market & supply chains, go to: https://lnkd.in/gFQNSEpa

To discuss more on the supply-chains for metal chemicals and other semiconductor materials, come talk to TECHCET at the CMC Seminar in Taichung, Taiwan on October 25th. For more information and to register, go to: https://lnkd.in/gZN2gjWT.


Balancing Fundamental and Applied ALD with Stacey Bent – ALD Stories Ep. 26



In Episode 26, Professor Stacey Bent from Stanford University joins to discuss all aspects of her career, including early area selective deposition work, how her different academic appointments in chemistry and engineering have influenced the direction of her work, and how ALD can be used in energy applications. Stacey and Tyler also chat about how Stacey finds the best paths for her students, how being a professor and Vice Provost feedback to each other, and new programs she has initiated in her Vice Provost position. 

In this episode: 
00:00 Introduction 
03:45 Area Selective Work 
15:40 Chemistry & Engineering Backgrounds 
21:20 ALD for energy applications 
33:54 Stacey as an advisor 
36:19 Vice Provost position
 

Wednesday, August 30, 2023

Announcement Symposium G01 on “ALD & ALE Applications, #19” at the 244th ECS Meeting in Gothenburg, Sweden, Oct. 8-12, 2023

Announcement Symposium G01 on “ALD & ALE Applications, #19

at the 244th ECS Meeting in Gothenburg, Sweden, Oct. 8-12, 2023

See for detailed information about the 48 symposia, late manuscript submission requirements, and financial assistance: https://www.electrochem.org/244/.


Early (pre-)registration deadline is September 11, 2023.



In the ONLINE PROGRAM you can find symposium G01 on “ALD & ALE Applications, #19” which runs from Monday through Thursday Oct. 9-12 with a total of 77 presentations, incl. 1 keynote and 17 invited speakers. 


Sponsors of Symposium G01 on “ALD & ALE Applications, #19”





6K Energy Partners with Forge Nano to Revolutionize Battery Material Production

6K Energy, a trailblazer in sustainable battery material production, has joined forces with Forge Nano to introduce cutting-edge Atomic Layer Deposition (ALD) technology for commercial-scale production of NMC 811 cathodes. This collaboration aims to transform the battery industry by enhancing performance, efficiency, and cost-effectiveness.


Forge Nano, known for its precision nano-coating technology, and 6K Energy are set to redefine battery material production. By integrating Forge Nano's proprietary Atomic Armor™ surface technology into 6K Energy's process, the partnership promises unparalleled advancements.

Atomic Armor employs ALD coatings with unprecedented precision and speed. This method enhances battery materials, resulting in superior capacity, safety, charging rates, and cost-efficiency. Combining Forge Nano's Atomic Armor with 6K Energy's innovative UniMelt® materials production process is expected to yield high-performance and cost-effective battery materials.

Dr. Richard Holman, Senior VP of Battery Products at 6K Energy, emphasizes the impact of the collaboration, stating, "Leveraging Forge Nano's Atomic Armor platform provides us with a coating technology that meets the stringent specifications of our lithium-ion battery materials."

6K Energy's mission to produce domestically sourced battery materials for electric vehicles and renewable energy is greatly amplified by this collaboration. As the demand for advanced battery technologies grows, strategic partnerships like this one are poised to drive sustainable and high-performance solutions.

About 6K:

6K is a sustainability-driven company offering innovative solutions across industries. Their UniMelt® microwave plasma production system transforms materials into groundbreaking products. The company's 6,000-degree philosophy signifies both the operational temperature of UniMelt and the sun's surface temperature. 6K Energy, a division focused on domestically sourced battery materials, accelerates the transition to electric vehicles and renewable energy.

For more information, visit www.6Kinc.com.

6K Energy to Implement Forge Nano Equipment for Commercial Production of NMC 811 - Forge Nano

Monday, August 28, 2023

The Future of Nanoimprint Lithography: Exploring Possibilities and Challenges for High-Volume Production

Nanoimprint lithography (NIL) has emerged as a promising technique for the replication of intricate nano-scale features, offering higher resolution and uniformity compared to traditional photolithography methods. As semiconductor technology advances towards smaller and more complex structures, NIL holds the potential to revolutionize high-volume production processes. In this blog post, we'll delve into the current status of nanoimprint lithography and the possibilities it presents for future high-volume productions, as well as the main issues and concerns that need to be addressed.

NIL utilizes a process where a patterned mask is brought into contact with a resist-coated substrate. The resist fills the relief patterns in the mask through capillary action, creating precise nano-scale features. With a focus on simplicity and cost-effectiveness, NIL doesn't require the complex optics found in traditional photolithography, making it an attractive option for semiconductor memory applications.

Early work on combining NIL and Atomic Layer Etching by AlixLabs Founders

AlixLabs (www.alixlabs.com)  founders and Lund Nano Lab (Lund University, Sweden) collaborated 2018 to exploit Atomic Layer Etching (ALE) for improved NIL quality and resolution. ALE involved Cl2 monoatomic layer adsorption on silicon, followed by controlled Cl2-modified silicon layer removal using argon bombardment. This precision process allowed diverse nanopatterns to be etched onto silicon wafers with electron beam lithography. The treated wafers served as robust nanoimprint stamps in a thermal process, transferring features as small as 30 nm into a poly(methyl methacrylate) layer. ALE's potential for ultrahigh-resolution nanoimprint stamp fabrication advances nanofabrication techniques significantly.

Most Recent Achievements:

Recent study by TEL and Canon have demonstrated NIL's resolution capabilities of better than 10 nm, positioning the technology as a candidate for printing multiple generations of critical memory levels using a single mask. The potential to eliminate material waste by applying resist only where necessary adds to its appeal. Moreover, the simplicity and compactness of NIL equipment allow for clustered setups, enhancing productivity.

NIL Addressing Challenges in DRAM Scaling:

Dynamic Random Access Memory (DRAM) memory faces the challenge of continued scaling, with roadmap targets aiming at half pitches of 14 nm and beyond. The complexities of achieving tighter overlays, greater precision in critical dimensions, and edge placement errors demand innovative solutions. In DRAM fabrication, overlay requirements are even more stringent than in NAND Flash, with an error budget of 15-20% of the minimum half pitch.

Edge Placement Error (EPE):

EPE, the difference between intended and printed features, poses a significant challenge in modern semiconductor manufacturing. The intricacies of multiple patterning schemes and intricate device layouts contribute to EPE's complexity. Ensuring accurate placement of features is critical for maintaining device yield and performance.

The Quasi-Atomic Layer Etch (Quasi-ALE) process

The process is a specialized etching technique employed in advanced semiconductor manufacturing, particularly in processes like Nanoimprint Lithography (NIL). Quasi-ALE combines elements of Atomic Layer Etching (ALE) and conventional etching methods to achieve precise and controlled material removal. In the context of Nanoimprint Lithography, Quasi-ALE is used to etch materials with exceptional precision, targeting nanoscale features while minimizing damage to the surrounding areas. It involves a cyclic process where alternating etching and passivation steps are applied to the substrate. Each cycle removes a controlled layer of material, ensuring highly uniform etching and minimal lateral etch. One can discribe Quasi-ALE as a more productive way of performing ALE.

The key steps of the Quasi-ALE process typically involve:

1. Etch Step: During this step, a reactive gas is introduced into the etch chamber, which chemically reacts with the material to be removed. This reaction results in the selective removal of the material layer.

2. Passivation Step: In this step, a passivating species is introduced, forming a protective layer on the substrate surface. This layer prevents further etching and preserves the material beneath.

3. Purge and Repeat: The chamber is purged to remove any excess gases, and the process is repeated in a cyclical manner. Each cycle removes a controlled atomic layer of material.

Quasi-ALE is particularly advantageous for applications requiring high precision and control, such as in Nanoimprint Lithography, where maintaining accurate pattern dimensions and minimizing damage is critical. By combining the benefits of both ALE and traditional etching, Quasi-ALE enables advanced semiconductor manufacturing processes to achieve unprecedented levels of accuracy and uniformity.



Addressing EPE with Nanoimprint Lithography:

Researchers are actively exploring techniques to mitigate edge placement errors in nanoimprint lithography. This includes focusing on overlay accuracy, critical dimension uniformity (CDU), and local CDU. Compensatory methods such as dose control and reverse tone pattern transfer are being investigated to improve CDU and minimize errors.

The Role of Dose Control:

Varying the exposure dose offers a means of achieving small shifts in critical dimensions. Initial studies suggest that dose variations could lead to CD shifts of one to 2 nm. This strategy holds promise for enhancing CDU in the imprint process.

Reverse Tone Pattern Transfer:

Reverse tone processes, involving spin-on hard mask (SOHM) application and etch-back, offer an alternative approach to pattern transfer. While this method provides advantages such as reduced resist erosion and improved wall angles, trade-offs between CDU and line width roughness (LWR) need to be addressed.

Looking Ahead: The Possibilities and Challenges:

While NIL exhibits impressive potential, there are key challenges to overcome before it can be effectively integrated into high-volume semiconductor manufacturing. Ensuring precise overlay accuracy, managing complex CDU requirements, and effectively addressing edge placement errors remain pivotal. As the industry strives to achieve the roadmap's aggressive scaling targets, the evolution of nanoimprint lithography will undoubtedly play a crucial role.

Nanoimprint lithography is poised to reshape the semiconductor manufacturing landscape, offering higher resolution and cost-efficiency compared to traditional methods. With ongoing research and development, addressing challenges such as overlay accuracy, CDU, and EPE, the path to successful high-volume production through NIL seems promising. As technology continues to advance, the journey towards perfecting nanoimprint lithography is an exciting one, holding the potential to shape the future of chip fabrication.

Tokyo Electron (TEL): 

TEL specializes in Nanoimprint Lithography (NIL) technology, offering precision equipment, advanced etching solutions, and expertise in process control. They excel in alignment, overlay correction, CDU management, and etching technology.

TEL has previously demonstrated that for sub 7  nm CMOS technology, ALE and ALD integration improves SAC and patterning processes, achieving precise CD shrinking and enhanced selectivity.

Canon: 

Canon contributes to Nanoimprint Lithography (NIL) advancement by leveraging TEL's strengths in alignment, overlay correction, CDU management, and advanced etching solutions. They integrate these capabilities with the Reverse Tone Pattern Transfer, ensuring precise pattern replication and fidelity. Canon's focus on innovation drives high-resolution, cost-effective solutions for semiconductor manufacturing.

Canon has introduced a groundbreaking solution in the field of semiconductor technology with the development of the world's first mass-production equipment called the "FPA-1200NZ2C." This innovative tool utilizes nanoimprint lithography, a cutting-edge technique that involves imprinting nanometer-scale mask patterns onto substrates. By adopting this novel approach, Canon aims to overcome the limitations of conventional miniaturization methods. The FPA-1200NZ2C is already in use by Toshiba Memory, a prominent semiconductor memory manufacturer. This advancement marks a significant step forward in semiconductor manufacturing, enabling the creation of more intricate and advanced circuit patterns.

Sources:

High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching — Lund University

Nanoimprint post processing techniques to address edge placement error (spiedigitallibrary.org)

Nanoimprint Lithography | Canon Global

FPD Lithography Equipment | Canon Global

Benefits of atomic-level processing by quasi-ALE and ALD technique - IOPscience

www.alixlabs.com

Acknowledgement :

Thanks for sharing the SPIE article on LinkedIn and giving insights Frederick Chen!