Showing posts with label MERCK. Show all posts
Showing posts with label MERCK. Show all posts

Friday, April 19, 2024

Intel's Strategic Leap with 14A Node and DSA: Pioneering Next-Gen Semiconductor Manufacturing

Semi Analysis recently published a deeper dive into of Directed Self Assembly (DSA) and prospects of Intel using it at their 14A node (Link below). Intel's latest efforts in semiconductor manufacturing have brought considerable attention to its 18A node, yet it's the 14A node that is most important according to the analysis for the success of Intel Foundry's IDM 2.0 strategy. While the industry watches the ongoing discussions around the merits of TSMC's N2 and Intel’s 18A technologies, Intel is quietly setting a foundational stage with its 14A node, aiming to solidify customer trust and secure critical, high-value chip projects for the future. A key element in Intel's strategy may be the adoption of DSA that could significantly reduce lithography costs. DSA utilizes the self-organizing properties of block copolymers (BCPs) that assemble into predetermined patterns when guided by an underlying template. This approach promises to lower the doses required in extreme ultraviolet (EUV) lithography, allowing for more efficient patterning at reduced costs.

However, integrating DSA into commercial manufacturing involves challenges such as defectivity and pattern limitations, which could hinder its adoption. So I looked more into historical patent filings and found that reveal a typical hype cycle with increased filings during periods of peak expectations, followed by a decline as practical challenges emerged. Intel and TSMC have been consistently filing DSA patents, indicating sustained investment and belief in DSA's potential. Merck, among other chemical suppliers, has significantly increased patent filings, aligning with technological advancements in DSA. Please find on overview below.


It is well known that Intel plans to be the first major company to implement ASML’s high-NA EUV lithography scanners in high volume, despite the higher costs associated with single exposure high-NA systems compared to low-NA double patterning. It was also recently reported on X and other places that ASML is delivering a High-NA System to another player. SemiAnalysis argues that, the economic challenge posed by high-NA technology is addressed through the integration of DSA, which can improve the final pattern quality and dramatically reduce the necessary dose, thus potentially making high-NA economically more viable.

The benefits of DSA are significant: 

  • The ability to produce finer features with lower line edge roughness and increased throughput, thanks to its ability to heal discrepancies in the EUV guide patterns. 
  • Substantial cost savings and improved yield, especially for layers critical to the performance of advanced logic chips (bigger dies like AI accelerators).

However, DSA's integration into a commercial manufacturing environment is not without risks. The risks associated with Intel's adoption of DSA include:

  • The primary risk with any new patterning technology is defectivity, for DSA it is linked to the chemical purity of the block copolymers (BCP). Synthesizing BCP to extremely high purities is challenging, and any inhomogeneity directly impacts the critical dimension (CD), leading to defects. Trace metals need to be below 10 parts-per-trillion, and filtering out organic impurities is difficult, impacting the viability of DSA for mass production. My assessment - Expect this to come from a MERCK or a Japanese chemical vendor.
  • DSA is inherently limited to producing 1D line/space patterns or contact hole arrays, restricted to a single pitch per layer. This complicates the integration with other process technologies that might require more diverse patterning capabilities. However, these issues have potential solutions similar to those used in multi-patterning schemes.
  • Despite the theoretical benefits and recent advances in DSA, it remains largely untested in high-volume, leading-edge manufacturing. Intel is pioneering the use in high-NA scenarios, but the broader adoption across the industry, including by competitors like TSMC who are also developing DSA, remains uncertain. 

Source: Intel’s 14A Magic Bullet: Directed Self-Assembly (DSA) (semianalysis.com)

So let´s do the Patbase Test - how does this hold out if we dig into historical and current patent filing by the suspects!

Yes indeed, we have seen much increased filing the past decade or so representing a typical hype cycle. The hype cycle is a model developed by Gartner that describes the progression of a technology from inception to widespread adoption and maturity. It typically consists of five phases: the Technology Trigger, Peak of Inflated Expectations, Trough of Disillusionment, Slope of Enlightenment, and Plateau of Productivity. So for DSA in semiconductor manufacturing, the technology first garnered attention when its potential applications in advanced lithography were identified (2000-2010), marking the Technology Trigger. Interest surged about 2011, leading to a Peak of Inflated Expectations around 2016/2017, evidenced by a spike in patent filings as companies raced to capitalize on the emerging technology. However, as practical and economic challenges such as defectivity and integration complexities became evident, the enthusiasm waned, and DSA entered the Trough of Disillusionment. During this phase, the technology's limitations led to a decline in interest as initial expectations were not met. Over time, as more sustainable applications and improvements are developed, DSA may progress into the Slope of Enlightenment, where understanding and optimization occur as described in the assessment by SemiAnalysis, before finally reaching the Plateau of Productivity in the years to come, where it becomes a standard part of semiconductor manufacturing processes. This progression through the hype cycle reflects the typical maturation path of innovative technologies in the industry. Please note that there is a delay in patent filing data of up to 18 months so 2022, 2023 and 2024 are not complete yet.

Patent filing since 2000 in DSA (Patbase, 2024-04-19)

2. Yes, Intel is actively filing DSA patents and in the lead, and so is TSMC, along with other key players in the ecosystem. Over the past decade, the pattern of DSA patent filings has been quite revealing. Initially, GlobalFoundries and IBM in Upstate New York were early filers. GlobalFoundries ceased their filings around the time they decided not to pursue 7 nm and nodes below. IBM also stopped filing after completing their 2 nm demonstration on 300 mm wafers in 2021. Main contenders Intel and TSMC have been consistently filing DSA patents throughout the hype cycle and have continued to do so. Notably, there has been a clear acceleration in Intel's patent filings since 2019, although there was a slight drop during the COVID-19 lockdowns. Looking at chemical suppliers, Merck has taken the lead, with increased filings beginning in parallel with Intel from 2019 onwards, and accelerating until today. Other suppliers such as JSR, Shin-Etsu, and Brewer Science are also active in the DSA space. In the segment of wafer equipment OEMs, Tokyo Electron and SCREEN have been dominant. However, SCREEN appears to have recently exited the game.

DSA Patent filing last decade (Patbase , 2024-04-19)

In Summary - good assessment by SemiAnalysis and i passes the Patbase Test!






Saturday, September 9, 2023

Unlock the Future of Materials and Products: Join the MERCK ALD and ALE Innovation Webinar!

Join us for an exciting event on October 18, 2023: "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)." Presented by Sergei Ivanov, Senior R&D Manager at Merck KGaA, and Martin McBriarty, Senior Scientist, this webinar promises groundbreaking insights into the world of materials and product innovation.

Event Details:

Date: October 18, 2023

Time: 4:00 pm - 5:00 pm CET

Host: Laith Altimime, President of SEMI Europe

Agenda:

- 4:00 pm CET - Welcome remarks by Laith Altimime, President, SEMI Europe.

- 4:05 pm CET - "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)" by Sergei Ivanov & Martin McBriarty.

- 4:45 pm CET - Q&A session moderated by Laith Altimime, followed by conclusions from all speakers.

About the Speakers:

Sergei Ivanov: Sergei is the Senior R&D Manager in the Organometallics division of Thin Films Business. He leads a research program focused on developing novel precursors for the deposition of metal, metal nitride, and metal oxide films. Sergei holds a PhD in Inorganic Chemistry from Kurnakov Institute of Russian Academy of Sciences and a B.S. in Chemical Engineering from Mendeleev University of Chemical Technology.

Martin McBriarty: Martin is a Senior Scientist leading the development of atomic layer etch and other vapor-phase etch methods. He earned his B.S. in Materials Science & Engineering at the University of Florida and his Ph.D. in the same field at Northwestern University. Martin joined Intermolecular in 2018 after completing postdoctoral research at Pacific Northwest National Laboratory.



Friday, August 25, 2023

German Firm EMD Electronics Invests $300 Million to Expand Semiconductor Manufacturing in Pennsylvania

US-based EMD Electronics, a subsidiary of German Merck KGaA, is set to bolster its North American presence by establishing a $300 million semiconductor specialty gases manufacturing facility in Schuylkill County, Pennsylvania. This strategic move, aimed at doubling their production capacity for critical semiconductor components, is anticipated to generate 68 job opportunities.

The endeavor enjoys financial backing from the Pennsylvania Department of Community and Economic Development, underscoring the state's commitment to fostering business expansion. This expansion not only highlights the industry's focus on supply chain resilience, domestic manufacturing, and emerging technologies like semiconductors for AI, IoT, and 5G, but also emphasizes the notable German origin of the company.



Monday, June 12, 2023

Merck Showcases Expertise in Thin Film Deposition and Atomic Layer Etching at AVS ALD 2023 Conference

Leading scientists and engineers from MERCK present papers on advanced materials and semiconductor processing techniques at AVS ALD/ALE 2023.

Merck, a global science and technology company, is set to participate as a sponsor in the 23rd International Conference on Atomic Layer (ALD/ALE) 2023 organized by the Association for Science and Technology of Materials, Interfaces & Processing (AVS). The conference focuses on the science and technology of atomic layer-controlled deposition of thin films and related topics such as atomic layer etching.

Merck's leading engineers and experts will present their research papers at the conference, covering a wide range of cutting-edge topics. Thong Ngo, a Senior Process Engineer, will discuss the synthesis of 2D MoSe2 by atomic layer deposition on a wafer scale. Randall Higuchi, a Process Engineer, will present an evaluation of Zr and Hf precursors with higher thermal stability for atomic layer deposition of ZrO2 and HfO2 films.

Ravi Kanjolia, a Technology Fellow at Merck, will explore the reverse templating effects of low-resistivity Ru Ald on sputtered Ru, while Haripin Chandra, a Senior R&D Manager, will discuss the properties of VHF PEALD silicon nitride film deposited by precursors with different amino ligands. Martin McBriarty, a Materials Scientist, will present on thermal ALE reactants for semiconductor processing, and Ravi Kanjolia will also discuss crystalline gallium nitride deposition on SiO2/Si by RF-biased atomic layer annealing.


Furthermore, Haripin Chandra will participate in the Emerging Materials Session, focusing on EUV lithography materials. Merck's experts will offer valuable insights and share their expertise with the conference attendees, contributing to the advancement of thin film deposition and atomic layer-controlled processes.


Merck's presence at AVS ALD 2023 demonstrates the company's commitment to advancing technology and providing innovative materials solutions. Attendees will have the opportunity to connect with Merck's experts on-site for personalized advice and support. The conference, being held from July 23 to July 26, 2023, in Bellevue, Washington, promises to facilitate knowledge exchange and foster collaborations among scientists and industry professionals in the field of atomic layer-controlled deposition.

Source: The 23rd International Conference on Atomic Layer (ALD/ALE) 2023 | Merck (merckgroup.com)

Thursday, June 1, 2023

Merck has introduced new ALD barrier materials that are superior in flexible OLED devices

Merck has introduced new barrier materials for flexible OLED devices, utilizing low-temperature Plasma Enhanced Atomic Layer Deposition (ALD) technology. These materials offer superior flexibility, reliability, and longer lifetime compared to existing solutions. The ALD silicon materials, recognized with the Display Component of the Year 2023 award from the Society for Information Display, provide improved thin film encapsulation for OLEDs. Merck's investment in OLED production capacity expansion in Korea and China strengthens its position as a leading global supplier of high-purity OLED materials, meeting the growing demand and ensuring a stable supply chain.

Merck has introduced new barrier materials that offer superior flexibility, higher reliability, and longer lifetime in flexible OLED devices compared to existing solutions. These innovative materials, processed using low-temperature Plasma Enhanced Atomic Layer Deposition (ALD) technology, provide highly improved barrier characteristics that are 100 times more effective and 20 times thinner than current solutions. Merck's ALD silicon materials have won the Display Component of the Year 2023 award from the Society for Information Display (SID), recognizing their advancements in the display industry.

The demand for flexible OLED displays, which enable free-form devices and new applications, is rapidly growing. However, OLEDs are susceptible to degradation by moisture and oxygen. To address this, Merck developed encapsulation materials that are conformable, flexible, and durable. By leveraging its expertise in developing encapsulation materials for the semiconductor industry, Merck created barrier materials that enhance the thin film encapsulation of flexible OLEDs. These materials not only extend the lifetime of OLED devices but also meet stringent automotive requirements.

“As a pioneer in display materials, we are committed to providing our customers with solutions that enable new form factors,” said Damien Tuleu, Executive Vice President and Head of Display Solutions business unit at Electronics. “As the most advanced thin-film deposition technology, our low-temperature ALD silicon materials offer highly improved barrier characteristics - 100 times more effective than current solutions. And they come along with a thinner layer too - 20 times thinner than existing solutions. Ultimately, this means better conformability, flexibility, and durability than ever before.”


Merck's investment in OLED manufacturing capacities and its commitment to meeting the increasing demand for high-purity OLED materials have positioned the company as a leading global supplier in this technology. With three decades of research experience and early investments in OLED production, Merck is well-prepared to serve the market's needs. The company's expansion of OLED production capacity in Korea and China, with an investment of approximately €30 million, allows for easier access to OLED materials and a more stable and flexible supply chain for its Asia-based customers.


Source: Merck’s new barrier materials | Merck (merckgroup.com)

Friday, March 5, 2021

EMD Performance Materials announces further investments of electronics business and new name: EMD Electronics

  • New name reflects the product and service portfolio designed to enable the future of electronics in a data-driven world
  • Investment into R&D and innovation centers in Tempe and Silicon Valley
EMD Performance Materials today announced an expanded focus on the US electronics business and a new name in the US: EMD Electronics. EMD Electronics, a business of Merck KGaA, Darmstadt, Germany, includes a broad portfolio of semiconductor materials, semiconductor delivery systems and services, display, and surface solutions. The Electronics business globally employs more than 7,400 – with a third of employees in the US across 29 sites, with plans for continued growth in planarization and thin films organizations following recent investments. More information about the Electronics business can be found here.


Additionally, the company announced the relocation of the Silicon Valley Innovation Hub from Menlo Park to Intermolecular's San Jose facilities, combining Merck KGaA, Darmstadt, Germany's innovation efforts in the Bay area with Intermolecular's services for materials and electronics, creating a unique space that empowers collaboration with startups. This announcement follows the $22 million investment at the EMD Electronics site in Tempe, Arizona for its R&D and production for semiconductor materials announced in February.


"Our name change and investment in these centers demonstrate our commitment to continued innovation in electronics and supporting US customers' requirements for capitalizing on growing opportunities driven by digital transformations and data-driven electronics," said Jeff White, President of EMD Electronics. "Our customers are working on cutting-edge technologies and products that range from better immersive displays and surfaces in cars and consumer electronics to how to move neuromorphic and quantum computing to the next level. Our combined expertise and portfolio in display and surface innovations, semiconductor materials and the safe delivery and storage of speciality chemicals and gases will enable new discoveries and novel products not even imagined yet."

As the company behind the companies advancing digital living, the Electronics business sector is involved in all major technology trends – be it 5G, Big Data, autonomous driving, artificial intelligence, or the Internet of Things. Thanks to these and other megatrends, the demand for ever smaller, faster and more energy-efficient electronics is continuously growing. Sample innovations enabled by EMD 

Electronics include:
  • Patterning, deposition and spin-on dielectrics materials to make 3D NAND possible
  • DSA – revolutionary way of building microchips of the future
  • OLED for brighter, thinner, free-form displays
  • Liquid crystals for electronic steerable antennas to bring connectivity to places currently not reachable
  • eyerise ® liquid crystal for greener windows and innovative building architecture
These technologies and innovations are being implemented across a diverse set of customers including larger companies and start-up companies. To enable new inventions for start-ups, EMD Electronics has launched a program for early-stage and growth companies looking to advance their technologies in the areas of displays, semiconductor materials, neuromorphic computing, AI enabled materials development and smart manufacturing by applying for the EvoNexus MarketLink Program by March 12.

Friday, September 22, 2017

Merck sets up ALD/CVD Precursor R&D center in Taiwan

Merck has inaugurated its first IC materials application R&D center in Asia, which will be located in Kaohsiung, southern Taiwan. More than EUR2.8 million (US$3.35 million) will be invested in the facility. The center will be supporting Merck's local semiconductor customers in Taiwan, and clients in other Asian countries including Korea, Japan and China. The center will consist of two labs supporting the development of:
  • CVD/ALD materials for thin-film processes
  • conductive pastes for IC packaging applications
Merck currently has 660 employees stationed in Taiwan. The company has a global workforce of around 50,000 people.

Source: Digi Times (LINK)