Thursday, December 7, 2023

Applied Materials and CEA-Leti Forge New Joint Lab to Spearhead Specialty Chip Market Innovations

Applied Materials and CEA-Leti have announced a significant expansion of their collaboration, focusing on innovative materials engineering solutions tailored for specialty semiconductor markets. The joint lab, situated at CEA-Leti, is dedicated to propelling semiconductor device development, particularly for Applied Materials' ICAPS (IoT, Communications, Automotive, Power, and Sensors) customers.

This partnership underscores a growing demand in the ICAPS sector, fueled by advancements in industrial automation, IoT, electric vehicles, and green energy initiatives. The joint lab will tackle various materials engineering challenges to facilitate the next generation of ICAPS device innovations. Equipped with Applied Materials' advanced 200mm and 300mm wafer processing systems, the lab leverages CEA-Leti's expertise in new materials evaluation and device validation.


The joint lab features several of Applied Materials’ 200mm and 300mm wafer processing systems, such as this Endura® system, and leverages CEA-Leti’s world-class capabilities for evaluating performance of new materials and device validation.​

The collaboration aims to enhance power efficiency, performance, and cost-effectiveness, while also reducing time to market. Aninda Moitra, corporate vice president and general manager of Applied Materials' ICAPS business, emphasizes this initiative as an extension of a decade-long successful partnership, geared towards accelerating innovation in specialty semiconductor technologies.

Sébastian Dauvé, CEO of CEA-Leti, reflects on the decade of collaborative projects leading up to this new joint lab. These projects spanned advanced metrology, memory materials, optical devices, bonding techniques, and chemical-mechanical planarization. The results have consistently delivered high value, setting a solid foundation for this expanded engagement.

The lab not only aims to develop unique technological solutions for Applied Materials' customers but also supports CEA-Leti's internal R&D programs, overcoming current technical challenges. This initiative marks a significant step in the collaboration, promising to bring breakthroughs in specialty semiconductor technology to global markets.

Wednesday, December 6, 2023

ASM International Announces $300M Expansion in Arizona, Boosted by Dutch-U.S. Collaboration with Prime Minister Mark Rutte's Support

ASM International N.V. is set to expand its U.S. operations with a €300 million investment in a new facility in Scottsdale, Arizona. This expansion, covering more than 20 acres, aims to bolster their research, technology development, and manufacturing capabilities in the semiconductor industry. The state-of-the-art site, spanning 250,000 square feet, will host various functions, emphasizing renewable energy and sustainability.




A rendering of the new ASM HQ (source ASM America)

This move marks a significant step in reinforcing Arizona's position as a hub for semiconductor innovation and highlights the strong Arizona-Netherlands partnership. Notably, Mark Rutte, Prime Minister of the Netherlands, emphasized the importance of this expansion in strengthening cross-border collaborations and the global semiconductor value chain. The initiative is viewed as pivotal in fostering Dutch-U.S. partnerships and propelling advancements in the industry.

“Arizona and the U.S. are valuable partners in the Netherlands’ mission to co-create solutions that promote innovation and partnerships in the global semiconductor value chain,” said Mark Rutte, Prime Minister of the Netherlands. “It is through these types of cross-border collaborations that we are shaping the future of advancements in this growing industry.”

The new facility will create approximately 500 new jobs over six years, focusing on engineering and research roles. ASM's commitment to sustainability is evident in its plans for LEED certification and water reuse programs. Additionally, the company has formed partnerships with local organizations for environmental conservation efforts, showcasing its dedication to community and environmental stewardship. This expansion by ASM International is set to significantly enhance semiconductor technology and innovation, highlighting the growing synergy between the U.S. and the Netherlands in this vital sector.
Source:

Saturday, December 2, 2023

Introducing ALD to the Semiconductor Industry with Suvi Haukka – ALD Stories Ep. 29

Dr. Suvi Haukka, former executive technologist at ASM, ASM Fellow and ALD Innovator Awardee, joins Tyler for Episode 29. Suvi began her ALD career at Microchemistry in Finland working on atomic layer epitaxy on catalysts for under the direction of ALD technology inventor, Tuomo Suntola. She continued with Microchemistry as head of the thin film development group before spending most of her time teaching the world’s biggest semiconductor companies, like Intel and Samsung, about ALD. Suvi is one of the integral people in introducing ALD to the semiconductor industry in the 2000s. Suvi and Tyler discuss how she started working with ALD, what it was like to do a PhD with Tuomo Suntola, and how the make up of Microchemistry changed over the years. We also discuss the circumstances surrounding the ASM acquisition, how development changed under new leadership, and how it felt pitching ALD to the semiconductor industry. 




In this episode: 
00:32 Introduction to Microchemistry 
06:01 Doing a PhD with Tuomo Suntola 
19:00 Visiting semiconductor companies 
25:37 Post-ASM acquisition

Friday, December 1, 2023

ASD2024: Uniting the World of Area Selective Deposition in Historic Old Montreal

Announcement for ASD2024 Workshop

Dates: April 15-16, 2024

Location: Old Montreal, Canada

Welcome and bienvenue to the exciting Area Selective Deposition (ASD) workshop to be held in the picturesque Old Montreal. This two-day event, scheduled for April 15 and 16, offers an enriching platform for both academic and industry professionals to exchange groundbreaking ideas in the field of ASD.


Special Sessions:

1. Pre-Workshop Tutorial: A comprehensive half-day tutorial on April 14 (Sunday afternoon). Note: This session requires an additional fee.

2. Atomic Layer Processing Showcase: A half-day event on April 17 (Wednesday morning), highlighting Canada's advancements in atomic layer processing. This session is included in the conference fee.



Conference Venues:

- Hotel Place d'Armes (55 Rue Saint-Jacques): Main sessions and lunches on Monday and Tuesday will be hosted here. This 4-star hotel is conveniently located near a metro stop.

- Hotel Nelligan (106 Saint-Paul St W): A 4-star boutique hotel, the venue for the opening mixer on Sunday evening and the poster session on Monday evening.

Workshop Highlights:

- Single session format over two days featuring invited and contributed talks.

- A panel discussion focusing on the industrial and academic communication of ASD.

- Networking opportunities with leading experts and peers.

Explore Montreal:

Participants are encouraged to experience the charm of Old Montreal, known for its vibrant restaurants, bars, shopping venues, and historical sites like the Notre Dame Basilica and the port. For sports enthusiasts, the Circuit Gilles Villeneuve offers a unique opportunity for running and cycling.

Organizers:

- Prof. Sean Barry, Carleton University

- Prof. Paul Ragogna, Western University


Scientific Committee:

- Adrie Mackus, Eindhoven University of Technology

- Anjana Devi, Ruhr University Bochum

- Annelies Delabie, IMEC

- Anuja DaSilva, Lam Research

- Dennis Hausmann, Lam Research

- Erwin Kessels, Eindhoven University of Technology

- Gregory Parsons, North Carolina State University

- Han-Bo-Ram Lee, Incheon National University

- Ishwar Singh, IBM

- Keyvan Kashefi, Applied Materials

- Kristen Colwell, Intel

- Mark Saly, Applied Materials

- Marko Tuominen, ASM

- Ralf Tonner-Zech, Wilhelm-Ostwald-Institute für Physikalische und Theoretische Chemie

- Ravi Kanjolia, EMD Electronics

- Robert Clark, TEL

- Sang Hoon Ahn, Samsung Electronics

- Seung Wook Ryu, SK hynix

- Stacey F. Bent, Stanford University

Contact Information:

asd2024.ca

Wednesday, November 29, 2023

Launch of the Oxford Instruments Innovation Centre: Advancing Scientific Research and Collaboration

The Oxford Instruments Innovation Centre, a state-of-the-art facility, has been launched at its High Wycombe site. This Centre consolidates Oxford Instruments' analytical innovations, aligning with its mission of fostering a greener, healthier, more connected society. The inauguration welcomed dignitaries like Countess Howe, Lord-Lieutenant of Buckinghamshire, and Cllr. Paul Turner, Mayor of High Wycombe, alongside prominent figures from academia and industry. Dr. Ian Wilcock, Managing Director, led the opening, showcasing the Centre's role in advancing scientific research in various fields. The facility, a collaboration hub, allows access to cutting-edge technology and expertise. Oxford Instruments, a pioneer since 1959 and a significant contributor to Nobel-prize winning research, continues to impact science, particularly in material analysis, underlining its global technological leadership.


Source: Oxford Instruments Launches Innovation Centre - Oxford Instruments (oxinst.com)

Monday, November 27, 2023

Congratulations to Jusung Engineering on 30 Years of Pioneering Innovation and Market Leadership in ALD, Semiconductor, Display, and Solar Technologies!

Jusung Engineering, celebrating its 30th anniversary, is a leader in semiconductors, displays, and solar equipment. Founded in 1993, the company has seen considerable growth through innovation, achieving record sales and operating profits last year. It specializes in semiconductor equipment for memory and non-memory sectors, leveraging advanced ALD technology. In displays, Jusung is diversifying equipment for various panel sizes, while in solar, it's innovating with high-efficiency solar cells. The company is investing in future growth, with new R&D and manufacturing facilities, focusing on technological independence. It holds over 3,000 patents, with 65% of its workforce in R&D, investing 15-20% of sales in technology development. The CEO attributes their success to relentless innovation and a unique path, with plans to maintain leadership in future technologies and expand into global markets. Despite recent challenges, Jusung remains optimistic, prioritizing innovation and market creation.


The Jusung Engineering headquarters in Gwangju, Korea 출처 : Businesskorea (https://www.businesskorea.co.kr)

Fullarticle and interview:

Jusung Engineering Leading in Semiconductor, Display, Solar by Innovating with Atomic Layer Deposition - Businesskorea

Friday, November 24, 2023

AIXTRON Launches €100 Million Innovation Center to Boost Semiconductor Technology Development

AIXTRON SE, a leader in deposition equipment for the semiconductor industry, has begun constructing a new EUR 100 million innovation center at its headquarters in Herzogenrath, Germany. This significant investment will establish a 1000m2 cleanroom, along with space for metrology equipment, incorporating cutting-edge industry technologies. Scheduled for initial use in the second half of 2024 and official handover in early 2025, this facility marks a major milestone in AIXTRON's 40-year history. The innovation center, celebrated during a ground-breaking ceremony attended by political, scientific, and industry representatives, reinforces AIXTRON’s commitment to leading semiconductor technology and future growth. 

Dr. Felix Grawert, CEO of AIXTRON, highlighted the center's role in developing next-generation solutions for digitalization, electromobility, and energy efficiency. The cleanroom, classified as ISO 6 with potential expansion to ISO 4, is designed for high efficiency, utilizing a compact, multi-level layout to maximize space and streamline processes.



About AIXTRON

AIXTRON SE is a leading provider of deposition equipment to the semiconductor industry. The Company was founded in 1983 and is headquartered in Herzogenrath (near Aachen), Germany, with subsidiaries and sales offices in Asia, United States and in Europe. AIXTRON´s technology solutions are used by a diverse range of customers worldwide to build advanced components for electronic and optoelectronic applications based on compound or organic semiconductor materials. Such components are used in a broad range of innovative applications, technologies and industries. These include Laser and LED applications, display technologies, data transmission, SiC and GaN power management and conversion, communication, signaling and lighting as well as a range of other leading-edge applications.

Our registered trademarks: AIXACT®, AIXTRON®, Atomic Level SolutionS®, Close Coupled Showerhead®, CRIUS®, Gas Foil Rotation®, OVPD®, Planetary Reactor®, PVPD®, TriJet®

For further information on AIXTRON (FSE: AIXA, ISIN DE000A0WMPJ6) please visit our website at www.aixtron.com

Oxford Instruments Secures Major Orders for GaN ALE & ALD Systems from Leading Japanese Power Electronics and RF fabs

Oxford Instruments has received significant orders for its GaN ALE (Atomic Layer Etch) and ALD (Atomic Layer Deposition) systems from major Japanese foundries specializing in power electronics and RF (Radio Frequency). These systems are essential for producing GaN (Gallium Nitride) HEMT (High Electron Mobility Transistor) devices, catering to rapidly growing markets such as consumer fast-charging, data centers, and 5G/6G communications.


Oxford Instruments Plasma Technology staff at ALD2018/ALE2018 in Korea (Looking Back on ALD/ALE 2018 - Oxford Instruments (oxinst.com))

The company's ALD technology is known for its high throughput and low damage plasma processing, enhancing film and interface quality. It is widely used by leading GaN HEMT device manufacturers globally. The ALE solution, particularly for p-GaN HEMTs, is production-qualified and offers precise etching with minimal damage, featuring Etchpoint®, a unique endpoint detection technology developed in collaboration with LayTec AG.



Atomic Scale Processing

Etchpoint® allows for automated transition from standard high-rate etching to low damage ALE, improving device reliability. It enables precise AlGaN recess etches, crucial for the next generation GaN MISHEMT E-mode devices, with an accuracy of ±0.5 nm. These technologies can be integrated into an automated handler for multi-chamber processing without breaking vacuum, enhancing device performance and yield at a lower cost.



Gallium Nitride (GaN) provides higher breakdown strength, faster switching speed, and higher thermal conductivity for power electronics and RF applications. To support the high-volume manufacture of reliable GaN HEMT devices, Oxford Instruments in collaboration with LayTec have developed and optimised a new etch-depth monitoring solution to reliably fabricate GaN HEMT device structures. PlasmaPro 100 ALE with Etchpoint® system provides low damage etching with surface smoothing with unparalleled accuracy in target etch depth for devices such as p-GaN HEMTs and recessed gate MISHEMTs. Etchpoint is fully integrated with both the hardware and software of the PlasmaPro 100 ALE system, offering unrivalled accuracy of etch layer depth for GaN and AlGaN.

Dr. Aileen O'Mahony, GaN Product Manager at Oxford Instruments Plasma Technology, highlighted the significance of these orders from Japan, emphasizing the optimization of their ALD solution for GaN-surface plasma pre-treatment and the implementation of ALE with Etchpoint®. These developments are crucial in addressing complex challenges in device manufacturing while ensuring high throughput, reliability, and uptime in production.


Thursday, November 23, 2023

AI-Driven Chip Demand Spurs Optimism in Semiconductor Industry; Analyst Upgrades Key Players with Bullish Price Targets

Analyst Timm Schulze-Melander (Head of Tech Hardware research, Redburn) predicts growth in the semiconductor equipment industry, driven by AI-related chip demand. He upgraded Applied Materials and ASM International to "Buy," started Lam Research with a "Buy" rating, and rated KLA as "Neutral." Despite current capacity reductions, he sees growth resuming in 2025. Positive signs include recovering PC and mobile phone markets, with smartphone shipments expected to rise. He set price targets for each company, highlighting their market strengths. This analysis led to a rise in the companies' stock prices, reflecting optimism for the sector's future, particularly in the context of AI-driven demand.

The target prices set by analyst Timm Schulze-Melander are as follows:
  • Lam Research: $800 - now $717
  • ASM International: €545 - now $459
  • KLA: $550 - now $554
  • Applied Materials: $175 - now $149






Sources: 
Google Finance

Wednesday, November 22, 2023

SEMICON Europa 2023: A Deep Dive into ALD Technology for Wafer Fab Equipment in the More-than-Moore Era

Last week at SEMICON Europa, at the ALD Tech Day Organized by Beneq, Yole Group presented a comprehensive analysis on ALD technology for More-than-Moore (MtM) devices, focusing on its impact and future in the wafer fab equipment market. The presentation titled "Atomic Layer Deposition for More-than-Moore Devices in a Perspective of the Wafer Fab Equipment Market" offered valuable insights into the current trends and future directions of this pivotal technology.

The Growing Importance of ≤200 mm Wafers

A focal point of the discussion was the ≤200 mm wafer size, a segment that remains crucial in the semiconductor industry despite the surge in larger wafer sizes. The presentation highlighted the market size, chipmaker revenue, and capacity expansion specifically for this category. It emphasized that while the industry continues to evolve technologically, the demand for ≤200 mm wafers remains robust, accounting for a significant portion of the market.

Semiconductor Device Revenue Projections

Yole Group presented a detailed forecast for semiconductor device revenue, segmenting it by device type. They projected a CAGR of approximately 4.5%, expecting the overall market to reach around $850 billion by 2030. Within this, MtM devices are anticipated to contribute significantly, estimated at about $270 billion, indicating the growing relevance of these devices in the semiconductor landscape.


CapEx 200 mm Accelerates in Coming 5-Years - In 2023, the CapEx for 200mm wafer processing stood at $37 billion, representing 15% of the total device revenue of $252 billion. The slide forecasts an increase in CapEx to 17% of revenue by 2025, followed by an expected decrease to more sustainable levels by 2028. An impending demand for more Wafer Fab Equipment (WFE) for 200mm processing. Importantly, a significant opportunity for the introduction of ALD technologies in fabrication facilities, driven by the growth of compound semiconductors. This suggests a strategic shift in the industry, emphasizing the expanding role of ALD technology.

Capital Expenditure Trends and ALD Market Dynamics

The presentation also shed light on the capital expenditure (CapEx) trends in the industry, particularly for 200 mm wafer processing. With CapEx projected to increase to 17% of the revenue by 2025, a subsequent trend towards more sustainable levels by 2028 was also anticipated. This trend underscores the expanding market and the need for more Wafer Fab Equipment (WFE), presenting a substantial opportunity for the growth of ALD technologies.

Atomic Layer Deposition: Meeting Industry Needs

The analysis delved deeply into the ALD market, discussing how this technology is evolving to meet the changing demands of the semiconductor industry. It was pointed out that ALD technology is not just adapting but also driving significant advancements, particularly in the context of MtM devices.


From the presentation by Yole Group focuses on the use of ALD in power devices, emphasizing its role in enhancing device performance through precise interface control. The need for specialized ALD equipment, capable of handling multiple processes and materials, including thermal and plasma ALD with various precursors. ALD applications are found in in Silicon Carbide (SiC) and Gallium Nitride (GaN) devices, covering aspects like passivation, substrate creation, and buffer layers. While ALD is still in R&D for GaN High Electron Mobility Transistors (HEMT), it is already being used for SiC Trench and Planar MOSFETs in encapsulation and gate dielectrics, signaling its growing importance in semiconductor manufacturing.

Industry Challenges and Opportunities

The challenges and opportunities within the semiconductor industry were a crucial part of the discussion. The presentation addressed the current supply chain dynamics, technological innovations, and market trends that are shaping the adoption and development of ALD technology.

Conclusion

The presentation at SEMICON Europa provided a lucid and detailed perspective on the ALD technology in the context of the wafer fab equipment market. With a focus on ≤200 mm wafer size, it painted a comprehensive picture of the current market scenarios, future trends, and the pivotal role of ALD technology in shaping the future of semiconductor manufacturing. As the industry continues to evolve, the insights from this presentation will undoubtedly influence strategic decisions and technological advancements in the semiconductor sector.

SourceALD TECHDAY 2023 powered by Beneq (yolegroup.com)

Presentation shared with the attendees

AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

The article discusses AIXTRON's support for BelGaN in expanding its Gallium Nitride (GaN) business through the new G10-GaN system. AIXTRON SE, a semiconductor company, is enabling BelGaN, a leading GaN automotive-qualified semiconductor foundry in Europe, to enter the growing GaN market and boost GaN technology innovation. BelGaN plans to use AIXTRON's G10-GaN, which offers top performance, a compact design, and low cost per wafer, starting with an 8x150mm configuration, to be delivered to BelGaN's production site in Oudenaarde, Belgium, by the end of 2023. This system will later evolve to support 5x200mm.


AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

BelGaN aims to expand its power chip range with voltage ratings from 40V to 1200V, utilizing GaN-on-Si, GaN on SOI, and new GaN-on-engineered substrates, focusing on high performance, automotive quality, reliability, high yield, and low costs. The G10-GaN will enable innovations in device architectures and improvements in performance, yield, and quality, reducing the cost of GaN products. This advancement is expected to drive growth in e-mobility, datacom, energy conversion, and contribute to a carbon-neutral society.



The G10-GaN epitaxy system is a highly efficient, productive, and cost-effective solution for GaN power and RF applications, combining single wafer performance with the economy of batch processing.

Dr. Marnix Tack of BelGaN praised the productivity, uniformity, and low cost of ownership of the G10-GaN, while Dr. Felix Grawert of AIXTRON expressed pride in BelGaN's choice of their technology. The G10-GaN, building on the G5+ C platform, offers double the productivity per cleanroom area, better material uniformities, and over 25% lower cost of ownership compared to other market equipment, making it a competitive choice for AIXTRON's customers. This MOCVD system is fully automated and designed for silicon fabs, marking a significant technological step in the semiconductor industry.

G10 GaN Performance (www.aixtron.com)

The G10-GaN by AIXTRON is a state-of-the-art deposition system for 150/200 mm GaN epitaxy, designed for GaN power and RF applications. It features a compact cluster design with three process chambers, reducing the footprint by 50% while maximizing wafer yield per square meter. This system significantly improves device yield with a threefold increase in on-wafer uniformity and maintains low particle levels, enhancing overall quality and consistency.

Cost-effectiveness is a key attribute, with the G10-GaN offering more than 25% lower epitaxial cost per wafer compared to other platforms. It incorporates Planetary Reactor® technology for high wafer yield and uniformity. The system's productivity is marked by over 90% equipment uptime and highest throughput per fab area, supported by features like fully automated wafer handling and advanced temperature control.

The G10-GaN ensures easy maintenance and operation with features like automated chamber cleaning and predictive functionalities. It's compatible with existing AIX G5+ C tools, facilitating seamless process transfers. Overall, the G10-GaN epitaxy system combines efficiency, cost-effectiveness, and advanced technology, making it an optimal choice for semiconductor manufacturing in the GaN sector.

Market opportunity for GaN for MOCVD and ALD


ALD and MOCVD is rapidly gaining traction in the semiconductor industry, particularly for logic, memory, and wide-bandgap power semiconductors. This growth is highlighted by industry experts at SEMICON Europa 2023. ALD is primarily adopted for its excellent surface passivation qualities, essential in GaN power electronic devices. While miniaturization drives ALD use in some sectors, its primary role in power electronics is to provide high-quality, conformal coatings, crucial for device performance and reliability. Thermal ALD processes like Al2O3 and AlON are key for GaN transistors, offering improved interface layers for low dynamic switching losses. ALD's impact is most notable in consumer, automotive, and industrial markets, where it enhances device performance and longevity. Looking forward, continued optimization of ALD processes and in-situ surface treatments are expected to further enhance device performance and reliability, especially in GaN power electronic devices, with high growth predicted in various end markets.



Tuesday, November 21, 2023

Revolutionizing Power Technology: Intel's Integrated CMOS Driver-GaN (DrGaN) Power Switch for Enhanced Efficiency and Density in Data Centers and Networks

Intel researchers have developed an integrated CMOS Driver-GaN (DrGaN) power switch, combining gallium nitride (GaN) and silicon CMOS technologies on a 300mm GaN-on-Si platform. This innovation is designed to meet the increasing power density and efficiency needs of data centers and networking platforms. The new device, termed DrGaN, features an e-mode HEMT and an integrated 3D monolithic Si PMOS. It's capable of addressing the power requirements of future CPUs and GPUs, showing excellent resistance and leakage performance. A key advancement is the development of a new gate-last process flow for 3D monolithic integration of GaN and Si CMOS through layer transfer. 


Intel researchers have developed an integrated CMOS Driver-GaN (DrGaN) power switch, combining gallium nitride (GaN) and silicon CMOS technologies on a 300mm GaN-on-Si platform.

This process involves completing the high-temperature activation steps for the Si CMOS transistors before depositing the GaN transistor's gate dielectric, solving a major challenge in integrating these two technologies. This method also allows GaN and Si CMOS transistors to share the same backend interconnect stack, which reduces resistance and mask count. The new technology demonstrates great promise for scaling, evidenced by a figure of merit of 0.59 (mΩ-nC)-1 for a 30nm gate-length GaN MOSHEMT. The paper includes images of the new process flow, the 3D monolithic integration, and the layout of a DrGaN unit cell, illustrating the advanced integration and circuitry of this novel power device.

Friday, November 17, 2023

Forge Nano Unveils Plans for U.S.-Based Lithium-Ion Battery Gigafactory in North Carolina, Set to Launch in 2026

Forge Nano, Inc. has announced its venture into lithium-ion battery manufacturing with the creation of Forge Battery. The company plans to establish a Gigafactory in Raleigh, North Carolina, targeting defense, aerospace, and specialty electric vehicle markets. With an initial investment of over $165M, the facility, operational by 2026, will produce batteries utilizing Forge Nano’s Atomic Armor surface technology, enhancing energy density, safety, and lifespan. 

This technology is expected to surpass existing lithium-ion cells in performance. The North Carolina facility, benefiting from state incentives and a Job Development Investment Grant, promises significant economic benefits, including hundreds of high-paying jobs and substantial tax revenue to support local communities. The groundbreaking event is scheduled for the first half of 2024.



  • Forge Nano has raised $81.54M over 10 rounds.
  • Forge Nano's latest funding round was a Series C for on May 30, 2023.

Source:

Forge Nano to Launch U.S Battery Manufacturing Business; North Carolina Gigafactory Planned for 2026 - Forge Nano

Forge Nano Stock Price, Funding, Valuation, Revenue & Financial Statements (cbinsights.com)

Thursday, November 16, 2023

ALD is coming home 2024!

Announcement for the AVS 24th International Conference on Atomic Layer Deposition (ALD 2024) & 11th International Atomic Layer Etching Workshop (ALE 2024)

Event Overview: The AVS 24th International Conference on ALD along with the 11th International ALE Workshop will be held from August 4-7, 2024, at Messukeskus, Helsinki, Finland. This premier event, alternating annually among the United States, Europe, and Asia since 2001, focuses on the science and technology of atomic layer controlled deposition of thin films and atomic layer etching.


Special Celebration: The conference marks the 50th anniversary of ALD, celebrating the pioneering work of Dr. Tuomo Suntola, who filed the first patent on Atomic Layer Epitaxy in 1974. Dr. Suntola will open the conference with a special address.



Program and Submission Details: The event features pre-conference tutorials and a welcome reception on August 4, followed by sessions and an industry tradeshow from August 5-7. The program chairs include esteemed professionals from the University of Helsinki, the University of Twente, and AlixLabs AB. Key dates for abstract submission, registration, hotel reservations, and manuscript submissions are provided, with the abstract submission deadline being February 15, 2024.

Contact Information: Further details, including the event code of conduct, presentation guidelines, and sponsor and exhibitor information, are available for download. For any additional queries, Della Miller, the Event Manager, can be contacted at della@avs.org. 

For more details, attendees and interested parties are encouraged to visit the official website of AVS.

ald2024 (avs.org)

Aixtron Reports Strong Revenue Growth and Doubled Profits in Q3 2023, Driven by High Demand for GaN and SiC Power Electronics

 In Q3 2023, Aixtron SE, a deposition equipment maker based in Herzogenrath, Germany, reported a revenue of €165 million, marking an 86% increase from the previous year but a 4.9% decrease from the last quarter. The company's revenue for the first nine months of 2023 rose by 49% year-on-year to €415.7 million, predominantly from equipment sales.


A significant portion of the revenue came from the sale of metal-organic chemical vapor deposition (MOCVD)/chemical vapor deposition (CVD) systems for manufacturing gallium nitride (GaN) and silicon carbide (SiC) based power electronics, which accounted for 82% of equipment revenue. There was a notable shift from optoelectronics and LED systems to SiC and GaN-based systems.

Regionally, Asia contributed 44% of the revenue, followed by Europe (33%) and the Americas (23%). The gross margin improved to 46% in Q3 from 42% in Q2, with operating profit doubling year-on-year due to a better product mix.

However, the company faced increased operating expenses, primarily due to a 44% rise in R&D costs. This led to a significant drop in free cash flow, mainly attributed to a rise in inventories in anticipation of higher business volumes.

Aixtron launched the G10-GaN system, an addition to its G10 product line, which is expected to generate over 50% of its total GaN revenues in 2024. Despite a dip in order intake in Q3, Aixtron projects a higher intake in Q4 and confirms increased full-year growth guidance, expecting continued strong demand, especially for efficient power electronics.

The company is also investing in a new Innovation Center to expand its R&D capabilities, aligning with global trends in electrification, digitalization, and renewable energies, where materials like SiC and GaN are becoming mainstream.

Source: 

Aixtron’s Q3 Revenue And Earnings Up Significantly Year-on-year - Plato Data Intelligence (zephyrnet.com)

Friday, November 10, 2023

ClassOne Technology Equips VTT Finland with Advanced Electrplating System for Chip Packaging Innovation"

ClassOne Technology, a significant provider of electroplating and wet processing tools for microelectronics manufacturing, has received an order from Finland's VTT Technical Research Centre for its Solstice® S8 single-wafer system. VTT will use the system for advanced packaging applications like through-silicon via (TSV) processes essential for MEMS packaging and the integration of sensor chips and quantum computing devices. This move aligns with the anticipated 10% annual growth in the advanced packaging sector, which is expected to reach over $78 billion by 2028, with shifts in market drivers and dominant technologies.

The Solstice S8 will enable VTT to use advanced electrolyte chemistries and atomic layer deposition for efficient copper TSV plating. ClassOne Technology's Solstice platform is designed to support a variety of process technologies and chemistries in a compact setup, suitable for both R&D and pilot-line production. VTT plans to use the tool for its research and development and offer access to it for other qualified users. The system will be shipped to VTT's main facility in Espoo, Finland.

ClassOne Technology will showcase its Solstice platform at the upcoming SEMICON Europa trade fair in Munich. The company specializes in tailored, cost-effective processing solutions for wafer processes, serving the semiconductor and microelectronic device manufacturing industry globally.




The Solstice® S8 automated electroplating systems are high-speed, fully-automated, 8-chambered tool designed for electrochemical deposition (ECD) as well as surface preparation wet processing – specifically for ≤200mm wafers. It is the most powerful, easy-to-use, and cost-efficient route to single-wafer volume production for many applications.

Sources:

Tuesday, November 7, 2023

Molybdenum: The New Frontier in Semiconductor Metallization according to Lam Research

The semiconductor industry is facing a significant shift as Molybdenum (Mo or Moly as the Americans say) is tipped to replace tungsten in chip manufacturing due to its superior atomic-scale properties. Kaihan Ashtiani, Corporate Vice President and General Manager at Lam Research, highlights the critical attributes of moly that make it the ideal choice for advanced devices. The company is at the forefront, aiding chipmakers in the transition with its ALTUS® product family, drawing from its pioneering work in Tungsten ALD and expertise in 3D NAND technology.

The semiconductor sector is on the cusp of a pivotal transition with molybdenum poised to supersede tungsten for interconnect metallization in response to the stringent scaling demands of modern chipmaking. Molybdenum's edge lies in its low resistivity and ease of integration into existing semiconductor processes, including atomic layer deposition (ALD) and chemical-mechanical planarization (CMP). These properties, combined with its minimal diffusivity into dielectric materials—thus negating the need for a barrier liner—make moly the preferred candidate for next-generation devices. Kaihan Ashtiani of Lam Research articulates the advantages of moly over other metals like cobalt and copper and underscores Lam's commitment to facilitating this industry shift. Leveraging decades of expertise and innovation in tungsten ALD and the transition from 2D to 3D NAND, Lam's ALTUS® product line is instrumental in enabling widespread adoption of molybdenum. This shift is not just theoretical; major chipmakers are actively exploring moly integration, marking a significant evolution in semiconductor fabrication.

The ALTUS® system by Lam Research is a suite of metal deposition tools used in semiconductor manufacturing, particularly for the deposition of tungsten and potentially other metals like molybdenum. These systems are designed to deposit metals by CVD or later named PNL (Pulsed Nanolayer deposition) and today marked as Atomic Layer Deposition (ALD- yeah!), which allows for extremely fine control of film thickness and composition at the atomic level, crucial for creating the tiny, densely packed structures found on modern integrated circuits.




For tungsten, the ALTUS® system has been a workhorse in the industry for the deposition of tungsten films, especially in the fabrication of the contact and via layers of integrated circuits. The ALD process ensures excellent step coverage, conformality, and uniformity even in very high aspect ratio structures. This is particularly important for Logic, DRAM, and 3D NAND applications, where the precise control of the tungsten film's electrical and physical properties is critical for device performance.



ALTUS applications

Logic devices, metallization needs to meet the requirements of increasingly smaller geometries and higher performance. The precision of ALD with tungsten and potentially molybdenum is essential for creating the necessary electrical connections without compromising the device's integrity.
  
Dynamic Random-Access Memory (DRAM) requires highly reliable and conductive connections as it is constantly refreshed to maintain data. The metallization process for DRAM needs to ensure that the metal films provide low resistivity and high reliability for the memory to function correctly.
  
In 3D NAND flash memory, multiple layers of memory cells are stacked vertically. This requires extremely uniform metal layers across all the stacked levels. The ability of the ALTUS® system to deposit tungsten and potentially molybdenum with high uniformity and excellent conformal coverage is vital for the success of 3D NAND devices.

Sources:

Veeco Delivers Groundbreaking NSA500 Annealing System to Tier 1 Logic Customer

Veeco Instruments Inc. has announced the delivery of its inaugural NSA500™ Nanosecond Annealing System to a premier logic customer, marking a significant stride in laser annealing technology and a chance to broaden its market reach. The NSA500 caters to the critical needs of advanced semiconductor nodes and 3D applications, offering precision annealing that spares the underlying device structure. This is particularly vital for low thermal budget and material modification processes. With the promise of a second system soon to ship to another leading customer, Veeco anticipates high-volume manufacturing orders by late 2024 or early 2025. The NSA500's versatility covers a spectrum of new applications, such as Backside Power Delivery and Contact Annealing, essential for cutting-edge semiconductor fabrication. Veeco’s technology, recognized for enhancing device performance and supporting customer product development, complements their existing laser annealing systems.




Source:

MSP Launches Turbo II™ Vaporizers: Next-Gen Efficiency for Semiconductor Fabrication

MSP, a Division of TSI, has introduced the Turbo II™ Vaporizers, transforming vapor delivery for chemical vapor deposition (CVD) and atomic layer deposition (ALD) in semiconductor manufacturing. These vaporizers handle a variety of liquid precursors, including thermally sensitive ones, and boast a 200% increase in vapor output with half the size of previous models. They offer higher vapor concentrations, quicker stabilization, and faster deposition times, reducing wafer processing time and liquid waste. Additionally, the vaporizers are designed to decrease downtime and maintenance, offering a lower total cost of ownership and significant long-term cost savings. MSP's product line includes various vaporizers, VPG filters, liquid flow controllers, and semiconductor metrology equipment.


Source:

MSP Unveils Turbo II™ Vaporizers (globenewswire.com)

Sunday, November 5, 2023

Global Semiconductor Sales See Mixed Trends: Monthly Rise Amid Annual Decline

Global semiconductor sales rose 1.9% in September 2023 from August, but fell 4.5% from September 2022. Q3 sales reached $134.7 billion, up 6.3% from Q2 but down 4.5% from Q3 the previous year. Sales reflect positive momentum with a strong long-term demand outlook. Increases were seen in all regions except Japan.

WASHINGTON—Nov. 1, 2023—The Semiconductor Industry Association (SIA) today announced global semiconductor sales for the month of September 2023 increased 1.9% compared to August 2023 and fell 4.5% compared to September 2022. Worldwide sales of semiconductors totaled $134.7 billion during the third quarter of 2023, an increase of 6.3% compared to the second quarter of 2023 and down 4.5% compared to the third quarter of 2022. Monthly sales are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. SIA represents 99% of the U.S. semiconductor industry by revenue and nearly two-thirds of non-U.S. chip firms.

“Global semiconductor sales increased on a month-to-month basis for the seventh consecutive time in September, reinforcing the positive momentum the chip market has experienced during the middle part of this year,” said John Neuffer, SIA president and CEO. “The long-term outlook for semiconductor demand remains strong, with chips enabling countless products the world depends on and giving rise to new, transformative technologies of the future.”

Regionally, month-to-month sales increased in Asia Pacific/All Other (3.4%), Europe (3.0%), the Americas (2.4%), and China (0.5%), but decreased slightly in Japan (-0.2%). Year-to-year sales increased in Europe (6.7%), but decreased in the Americas (-2.0%), Japan (-3.6%), Asia Pacific/All Other (-5.6%) and China (-9.4%).

For comprehensive monthly semiconductor sales data and detailed WSTS forecasts, consider purchasing the WSTS Subscription Package. For detailed historical information about the global semiconductor industry and market, consider ordering the SIA Databook.

Friday, November 3, 2023

Nanexa AB Concludes Rights Issue on Nasdaq First North Growth

Nanexa AB's rights issue, aimed at raising SEK 121m, concluded with a 34.7% subscription rate using rights and without. The company will utilize guarantee commitments for the remaining 27.1%. The rights issue, announced on September 21 with backing for 62% of the total, resulted in 33.5% of shares subscribed with rights and 1.2% without. The capital raised before transaction costs will be SEK 75m. Trading in BTAs will occur until registration is completed around week 45, 2023, with the new shares expected to trade on Nasdaq First North Growth by week 46, 2023.

Nanexa, founded in 2007, has evolved from working with Atomic Layer Deposition (ALD) technology for various applications to focusing on the pharmaceutical sector with its proprietary PharmaShell® system. PharmaShell® positions Nanexa in the burgeoning drug delivery market with a system that allows high-precision, long-acting injectable drug products. The company is developing its own products and also partners with multiple pharmaceutical firms, including AstraZeneca, leveraging the unique capabilities of its ALD-based technology to enhance drug delivery.


The controlled release is steered by modulating the coating thickness, the composition of the coating and process parameters. We are currently primarily using nontoxic aluminum oxide and zinc oxides in the ALD coating

Sources:

Dutch Election Frontrunner Advocates for Earlier ASML Export Restrictions to China

Dilan Yesilgoz-Zegerius, the leading candidate in the Dutch elections, has stated that the Netherlands should have responded more swiftly to restrict exports of ASML Holding NV's advanced chipmaking equipment to China. The U.S. has coordinated with the Netherlands and Japan to impose these export bans, which are set to take effect in January, in an effort to prevent China from using the technology to gain a military advantage. ASML, the most valuable Dutch company, has opposed these restrictions.


A recent incident where Chinese company Semiconductor Manufacturing International Corp. used ASML equipment to make advanced processors for Huawei smartphones underscores the urgency and the missed opportunity for earlier action by the Netherlands.

Yesilgoz-Zegerius acknowledged that the Netherlands had been "naive" about its security, emphasizing the need for cooperation with the U.S. to ensure independence from undesirable collaborations. Her stance, however, contrasts with some local lawmakers who criticize the export curbs as an infringement on Dutch sovereignty.

As she vies to become the Netherlands' first female prime minister and the first refugee to hold the position, Yesilgoz-Zegerius has made headlines with her tough stance on immigration, opposing a parliamentary motion that reduces tax benefits for expats and arguing for a significant reduction in migrant numbers. Her comments have sparked debate among Dutch tech companies like ASML, which rely on international expertise and have expressed concerns about the tightening of such tax benefits.

Source:

ASML China Export Curbs Too Late, Yesilgoz-Zegerius Says - Bloomberg

ALD Adaptation Promises Advances in Solid-State Battery Development

Researchers at the Argonne National Laboratory have ingeniously applied a ALD, to improve solid-state battery technology. They adapted ALD, commonly used for applying thin films in chip manufacturing, to enhance argyrodite electrolytes—a sulfur-based compound known for high ionic conductivity, which is crucial for fast-charging batteries. The ALD process involves a chemical reaction on the material's surface to create a thin protective layer, addressing the reactivity challenges of argyrodites. Unlike typical post-pellet coating, this approach uniformly applies alumina coatings to electrolyte powders before pellet formation, preserving the material's structure. This results in less air-sensitive powders, facilitating easier production and leading to batteries with better performance, as demonstrated in cell tests. The method unexpectedly also doubles the ionic conductivity and mitigates issues like dendrite formation, significantly extending the battery's life and safety, marking a promising development for solid-state battery production.





Sources:


Zachary D. Hood et al, Multifunctional Coatings on Sulfide‐Based Solid Electrolyte Powders with Enhanced Processability, Stability, and Performance for Solid‐State Batteries, Advanced Materials (2023). DOI: 10.1002/adma.202300673

Entegris Reports Q3 2023 Revenue of $888M; Sees Rising Customer Interest in Innovative Solutions

Entegris, Inc. reported Q3 2023 revenue of $888 million, an 11% decrease YoY and 1% sequentially. GAAP net income was $33.2 million ($0.22 per share), including expenses like goodwill impairment and integration costs. Non-GAAP net income was $103.6 million ($0.68 per share). Q4 2023 sales are expected to be down around 2% sequentially, with GAAP EPS of $0.25-$0.30 and non-GAAP EPS of $0.55-$0.60. Customer interest is rising in Entegris' comprehensive solutions and collaborative materials development capabilities, particularly in materials like molybdenum. These solutions lead to faster development and speedier product launches, positioning Entegris as an innovation and growth partner.

Entegris, Inc. reported its third-quarter financial results for 2023, with revenue totaling $888 million, reflecting an 11% decrease compared to the same quarter in the previous year and a 1% sequential decrease. The company's GAAP net income for the third quarter was $33.2 million, resulting in earnings per diluted share of $0.22. These figures included various expenses, such as goodwill impairment, amortization of intangible assets, integration costs related to an acquisition, and other net costs. On a non-GAAP basis, the company achieved a net income of $103.6 million, with non-GAAP diluted earnings per share of $0.68.

For the fourth quarter of 2023, the company expects sales to be down approximately 2% sequentially, with a range of $770 million to $790 million in sales and diluted earnings per common share between $0.25 and $0.30 on a GAAP basis, while non-GAAP earnings per share are expected to range from $0.55 to $0.60.

Entegris operates in three segments: Materials Solutions (MS), Microcontamination Control (MC), and Advanced Materials Handling (AMH), catering to the semiconductor and high-tech industries. The company held a conference call to discuss its results on November 2, 2023. 

Entegris is experiencing rising customer interest in their comprehensive solutions and collaborative capabilities for materials development, such as molybdenum. Customers appreciate the benefits, such as faster development and improved speed, resulting in quicker product launches. This positions Entegris as a valuable partner in their customers' innovation and growth endeavors.

Switching to molybdenum (Mo) in semiconductor manufacturing for 2 nm affects multiple processes. Mo offers conductivity without needing barrier layers and is cost-effective, but its corrosion risk requires adapting steps like deposition and etching. For chemical mechanical planarization (CMP), slurries and pads must be refined to protect Mo, with lower oxidizer concentrations and customized pad designs. Word line etching, particularly for 3D NAND, faces challenges with conventional etchants and cleans, necessitating specialized etchants that prevent residue. High-purity materials and rigorous filtration are essential for yield, with in situ monitoring and multiple-stage filtration to minimize contamination. Transitioning to Mo demands a comprehensive approach to select chemicals, pads, and filters to optimize the process and yield. Close collaboration with suppliers that provide integrated solutions can smooth the transition, as using a single supplier can expedite material compatibility testing and streamline the switch.


Source - Entegris.com

The memory market presents a mixed scenario. DRAM has shown anticipated improvement, but 3D NAND remains subdued, with declining wafer starts in Q3 and no significant recovery expected in Q4. These conditions align with previous industry forecasts. Looking ahead to 2024, specific details are not yet available, but there is an expectation of increased wafer starts. More precise information will be provided in the Q4 earnings report in February, as it's currently too early to offer comprehensive insights into the upcoming year's market dynamics.

In the current year, the company has observed that all node transitions in the logic sector have occurred according to schedule, which has had a positive impact on its business performance, notably in Taiwan during the third quarter. However, within the memory segment, the company had previously forecasted delays and a lack of transitions in 3D NAND, and these expectations have been met. The initial anticipation was for many customers to adopt 200-plus layer architectures by the year's end, but this transition has not materialized as predicted. The company is now looking forward to the possibility of high-volume production at 200 layers or more in early 2024, marking a revised timeline for this development.

Sources:

Entegris, Inc. (ENTG) Q3 2023 Earnings Call Transcript | Seeking Alpha

New Materials: Smoothing the Transition to Molybdenum (entegris.com)

Migrating to Molybdenum: Comprehensive IC Solutions to Streamline the Transition (entegris.com)


Thursday, November 2, 2023

Atlas Copco to Bolster Semiconductor Portfolio with Acquisition of South Korean Vacuum Valve Company, Presys Co., Ltd.

  • Atlas Copco set to acquire South Korean vacuum valve producer, Presys Co., Ltd.
  • Presys reported a revenue of MKRW 35,000 in 2022 and has a workforce of 134.
  • The deal, pending regulatory approval, is anticipated to close in Q1 2024.
Swedish firm Atlas Copco has announced its intention to purchase Presys Co., Ltd, a South Korean manufacturer of vacuum valves primarily for the semiconductor sector. Located in Suwon, Presys reported 2022 revenues of MKRW 35,000 (equivalent to SEK 275 million). Geert Follens, the Business Area President of Vacuum Technique at Atlas Copco, highlighted that Presys' offerings will enhance their existing semiconductor product range. Although the transaction amount remains undisclosed, it awaits regulatory nods and is slated for completion by early 2024. Upon finalization, Presys will be integrated into Atlas Copco's Semiconductor Chamber Solutions Division within the Vacuum Technique Business Area.


Presys customers, with focus on Asia.

Sources: 

Wednesday, November 1, 2023

KTH and Green14 Innovates Green Silicon Production to Challenge Asia's Dominance in Solar Cell Market

The traditional methods dependent on fossil fuels to reduce silicon dioxide are being challenged by KTH and Green14's reactor, which has a fossil-free process using hydrogen-based plasma reduction. This high-temperature plasma, created from a combination of hydrogen and argon gas, emits water vapor instead of carbon dioxide and has silane as another byproduct, used for producing silicon anodes for lithium-ion batteries.

KTH (Royal Institute of Technology in Stockholm, Sweden) is challenging China's silicon production. A portrait depicts researcher Björn Glaser in a lab hall, pointing out the location where a reactor will be constructed. This seven-meter-tall reactor, being developed in collaboration with startup company Green14, aims to produce green silicon at KTH and challenge Asia's dominance in the solar cell silicon market.


Björn Glaser, researcher and project manager, points out the location in the so-called furnace hall where a reactor will be built. (Photo: Anna Gullers)

In a few months, the new reactor will begin construction at the Department of Materials Science, reaching the ceiling of the grand furnace hall, becoming KTH's largest pilot facility. The researchers aim to develop a process for silicon production that's faster and more environmentally friendly than previous methods.

Using 3,000-degree hydrogen plasma, the reactor will convert silicon dioxide to silicon, crucial for manufacturing solar cells and semiconductors. Unlike traditional methods that rely on fossil fuels, this process with hydrogen plasma emits water vapor instead of carbon dioxide.

The primary goal is to produce silicon suitable for solar cells, a market dominated by Asia, particularly China. Björn Glaser, a lecturer and expert in high-temperature metallurgical experiments, believes this could be a game-changer, potentially bringing Europe back into competition.

Green14, the startup behind the initiative, will own and operate the facility, with Björn Glaser and Adam Podgorski, an Australian chemist and CEO of Green14, working closely together. If successful, Green14 plans to build a larger facility in northern Sweden. However, a significant challenge is ensuring safety due to the combination of extremely high temperatures and hydrogen gas.

Björn Glaser expresses that the project not only provides good PR for KTH but also offers students a unique opportunity to engage in groundbreaking research. If successful, the process could revolutionize how other metals, like copper, titanium, and vanadium, are produced, reducing their carbon footprints and making them cheaper to manufacture.

About GREEN14

GREEN14 is a pioneering technology company committed to developing innovative solutions for a sustainable future. With a focus on renewable energy, GREEN14 is revolutionizing the production of solar grade silicon through its groundbreaking quartz reduction process. By combining cutting-edge technology with a commitment to environmental stewardship, GREEN14 is driving the transition to a low-carbon economy and paving the way for a cleaner, brighter future.

Sources:

KTH utmanar Kinas kiselproduktion | KTH

General 2 — Green14

Surge in HBM Demand Marks Memory Market Recovery and Anticipated Growth in 2024 for Samsung

The global memory market, after experiencing a period of stagnation, has witnessed a resurgence in the third quarter, driven primarily by increased demand for high-density DRAM and NAND products in the PC and mobile sectors.

Samsung Electronics' financial results for 3Q23 highlighted a 12% QoQ revenue increase to 67.40 trillion Korean won, although there was a 12% YoY decrease. Notably, the company reported its highest quarterly profit for the year. Despite potential economic uncertainties in 2024, Samsung is optimistic about the recovery of the memory market and the rebound in smartphone demand. 

The memory sector saw a recovery compared to the previous quarter, especially in PC and mobile due to the rise in adoption of high-density DRAM and NAND products. The completion of customer inventory adjustments also played a role. Server demand was subdued for traditional servers due to macroeconomic uncertainties. However, strong demand persisted for AI-oriented high-density products. Samsung emphasized its focus on expanding sales of advanced node products like HBM DDR5, LPDDR5, and UFS 4.0. They also intend to manage high inventory products through production adjustments. The company expects the recovery trend in the memory market to accelerate further in the fourth quarter. Additionally, there has been a notable surge in HBM demand and the company is actively advancing its HBM businesses and plans to augment its HBM supply capacity by 2.5 times next year.


Trendforce on X (LINK)

The foundry division secured a record number of new orders, particularly in the HPC domain, despite a slow recovery in the mobile market. The new Taylor factory in Texas is set to begin production using the second-gen 3nm GAA process. The advanced packaging business has also been flourishing with orders from both domestic and international HPC clients.

Profits in the mobile panel business surged due to new flagship models from major clients. In contrast, the large panel business faced tepid demand. Samsung aims to cater to the growing mobile panel demand and increase profitability in the large panel sector by introducing new products and enhancing yield rates.

With the global economy expected to bounce back in 2024, the smartphone market's demand is anticipated to surge. High-end market growth is likely to continue, driven by the global recovery of the smartphone market.

Looking ahead to 2024, Samsung anticipates increased PC and mobile demand due to product replacement cycles initiated during the pandemic's early phase. High-density trends in both DRAM and NAND are expected to persist, propelled by on-device AI advancements. The company plans to focus on advanced node products, including 1B nanometer DDR5, LPDR5X, PCI Gen 5, and UFS 4.0, to bolster product competitiveness and profitability. Emphasizing the growing demand for generative AI, Samsung aims to strengthen its market position with high-density, low-power, and high-performance products for on-device AI, which has recently gained significant attention.

Sources;

TrendForce on X: "Samsung Electronics has released its financial results for 3Q23, reporting a quarterly revenue of 67.40 trillion Korean won—a 12% QoQ increase but 12% YoY decrease. The company achieved its highest quarterly profit of the year and anticipates that, despite ongoing global economic… https://t.co/RDKVjimgzN" / X (twitter.com)

Samsung Electronics Co Ltd (SSNLF) Q3 2023 Earnings Conference Call Transcript | Seeking Alpha