Wednesday, November 22, 2023

AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

The article discusses AIXTRON's support for BelGaN in expanding its Gallium Nitride (GaN) business through the new G10-GaN system. AIXTRON SE, a semiconductor company, is enabling BelGaN, a leading GaN automotive-qualified semiconductor foundry in Europe, to enter the growing GaN market and boost GaN technology innovation. BelGaN plans to use AIXTRON's G10-GaN, which offers top performance, a compact design, and low cost per wafer, starting with an 8x150mm configuration, to be delivered to BelGaN's production site in Oudenaarde, Belgium, by the end of 2023. This system will later evolve to support 5x200mm.


AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

BelGaN aims to expand its power chip range with voltage ratings from 40V to 1200V, utilizing GaN-on-Si, GaN on SOI, and new GaN-on-engineered substrates, focusing on high performance, automotive quality, reliability, high yield, and low costs. The G10-GaN will enable innovations in device architectures and improvements in performance, yield, and quality, reducing the cost of GaN products. This advancement is expected to drive growth in e-mobility, datacom, energy conversion, and contribute to a carbon-neutral society.



The G10-GaN epitaxy system is a highly efficient, productive, and cost-effective solution for GaN power and RF applications, combining single wafer performance with the economy of batch processing.

Dr. Marnix Tack of BelGaN praised the productivity, uniformity, and low cost of ownership of the G10-GaN, while Dr. Felix Grawert of AIXTRON expressed pride in BelGaN's choice of their technology. The G10-GaN, building on the G5+ C platform, offers double the productivity per cleanroom area, better material uniformities, and over 25% lower cost of ownership compared to other market equipment, making it a competitive choice for AIXTRON's customers. This MOCVD system is fully automated and designed for silicon fabs, marking a significant technological step in the semiconductor industry.

G10 GaN Performance (www.aixtron.com)

The G10-GaN by AIXTRON is a state-of-the-art deposition system for 150/200 mm GaN epitaxy, designed for GaN power and RF applications. It features a compact cluster design with three process chambers, reducing the footprint by 50% while maximizing wafer yield per square meter. This system significantly improves device yield with a threefold increase in on-wafer uniformity and maintains low particle levels, enhancing overall quality and consistency.

Cost-effectiveness is a key attribute, with the G10-GaN offering more than 25% lower epitaxial cost per wafer compared to other platforms. It incorporates Planetary Reactor® technology for high wafer yield and uniformity. The system's productivity is marked by over 90% equipment uptime and highest throughput per fab area, supported by features like fully automated wafer handling and advanced temperature control.

The G10-GaN ensures easy maintenance and operation with features like automated chamber cleaning and predictive functionalities. It's compatible with existing AIX G5+ C tools, facilitating seamless process transfers. Overall, the G10-GaN epitaxy system combines efficiency, cost-effectiveness, and advanced technology, making it an optimal choice for semiconductor manufacturing in the GaN sector.

Market opportunity for GaN for MOCVD and ALD


ALD and MOCVD is rapidly gaining traction in the semiconductor industry, particularly for logic, memory, and wide-bandgap power semiconductors. This growth is highlighted by industry experts at SEMICON Europa 2023. ALD is primarily adopted for its excellent surface passivation qualities, essential in GaN power electronic devices. While miniaturization drives ALD use in some sectors, its primary role in power electronics is to provide high-quality, conformal coatings, crucial for device performance and reliability. Thermal ALD processes like Al2O3 and AlON are key for GaN transistors, offering improved interface layers for low dynamic switching losses. ALD's impact is most notable in consumer, automotive, and industrial markets, where it enhances device performance and longevity. Looking forward, continued optimization of ALD processes and in-situ surface treatments are expected to further enhance device performance and reliability, especially in GaN power electronic devices, with high growth predicted in various end markets.



No comments:

Post a Comment