Sunday, January 28, 2018

Atomic Layer Deposition (ALD): Basic Principles, Characterizations, and Applications

Thursday, March 15, 2018, 1:00-5:00 p.m. (EDT)

The AVS Short Course Webinar focusing on on Atomic Layer Deposition (ALD) will be held on Thursday March 15 at 1:00 PM eastern time. This webinar will be taught by AVS Instructor, Robert K. Grubbs. Dr. Grubbs has been working in the field ALD for 20 years and has applied the ALD process to solve myrid technical challenges in the semiconductor industry and in the area of national security (Principal Member of the Technical Staff at Sandia National Laboratories).
 
This webinar on Atomic Layer Deposition (ALD) is for anyone who wants to know specific details about how the ALD process works and how to implement ALD in a laboratory setting. The webinar will cover the basic aspects of the chemical mechanism of ALD and how that leads to the unique and potent properties of an ALD process. Multiple examples of ALD chemistry will be covered as well as reactor design, chemical precursor properties, plasma ALD, and molecular layer deposition (MLD).

Registration: LINK
 
 

Saturday, January 27, 2018

Scaling proven for embedded Super Fast Non-volatile Memory from Dresden

Ferroelectric hafnium oxide and related materials have been developed in Dresden, Germany for over 10 years now. At the IEDM2017 in December Globalfoundries Fab1 and their partners (NaMLab, Fraunhofer and Ferroelectric Memory GmbH) presented their latest results using the Fab1 22nm FDSOI technology with embedded NVM cells embedded as adopted "standard" high-k / metal gate stacks in the front end process module as so called FeFETs.

Previously much of the work was based on Globalfoundries Fab1 28 nm technology so the move to 22 nm really proves that scalig is back to ferroelectric memory technologies as shown on LinkedIn by Prof. Mikolajick (NaMLab) below.. Since the high-k (doped HfO2) is deposited by ALD this technology is scalable also for FinFETs so don´t be surprised if Globalfoundries would soon present also FeFinFETs.

A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond

IEEE Xplore: 25 January 2018 DOI: 10.1109/IEDM.2017.8268425  

Abstract: We show the implementation of a ferroelectric field effect transistor (FeFET) based eNVM solution into a leading edge 22nm FDSOI CMOS technology. Memory windows of 1.5 V are demonstrated in aggressively scaled FeFET cells with an area as small as 0.025 μm2 At this point program/erase endurance cycles up to 105 are supported. Complex pattern are written into 32 MBit arrays using ultrafast program/erase pulses in a 10 ns range at 4.2 V. High temperature retention up to 300 °C is achieved. It makes FeFET based eNVM a viable choice for overall low-cost and low-power IoT applications in 22nm and beyond technology nodes.





Friday, January 26, 2018

Battery Breakthrough Company Feature - ALD NanoSolutions

There is an ongoing boom in the materials supply chain industry to supply the Electrical Vehicle (EV) manufacturers with battery materials. There are a number of concerns in the supply of the actual materials (e.g. lithium, cobalt and graphite). The technological aspects are also still broad,  however it seems very likely that ALD will play a role for some of the technologies for producing future lithium batteries that we will use in basically all devices ranging from communication (smart phones) and for transportation (cars, trucks, trains, ships, airplanes etc.). 

Alumina ALD Coating on LiCoO2 cathode particles showing a clear improvment in battery cyclability. The ALD coated material (red) shows improved capacity retention compared to uncoated (black). (ALD Nano)

ALD Nano in Boulder Colorado is the pioneer in this technology area and has recently announced scaling up their technology to run high volume of powder (3000 kg/day). They have developed a Spatial vibrationg technology refered to as Continious Vibrating Reactor - CVR.

The scientific, process development and engineering teams at ALD Nano have spent considerable resources over the past few years rapidly developing this first-of-its-kind technology from research scale, bench-top to the current commercial-scale systems. A continuous vibrating reactor, or CVR, provides ALD coating capacity of more than three tons per day and 1,200 tons per year of particle materials. These techniques gained from equipment development open up new pathways for ALD Nano's growth. The CVR is a spatial ALD reactor system and can also be utilized for MLD techniques, run at atmospheric or pressurized conditions, and fitted with various features such as plasma. [LINK]

It seems to me that their technology is mature for high volume manufacturing of powder materials and that they "simply" by scaling the number and/or the size of plants can supply the know how and hardware for full scale production for any big player in the battery materials supply chain. 



ALD Nano was recently highlighted by the Colorado Cleantech Industries Association (CCIA) and here is the information given by their CEO, Wayne Simmons:

Battery Breakthrough Company Feature: ALD NanoSolutions

CCIA [LINK] : We asked several companies “What are the critical changes in the battery industry landscape that will have a strategic impact on your success?” This week, we’re highlighting ALD NanoSolutions.

Wayne Simmons, CEO

Lithium ion batteries for electric vehicles, consumer electronics, and distributed energy storage, along with new versions of lead acid batteries for vehicle start-stop fuel efficiency strategies, are driving today’s growth in the battery energy storage market. Longer term, grid-scale batteries will generate a large impact too. Overall, the dramatic changes and expansion of the battery industry are creating huge new materials markets. Every major chemical and advanced materials company in the world is attracted to this opportunity. However, for new devices like EVs to take meaningful market share, the materials for electrodes, electrolytes, and other battery components need to be engineered at the nanometer, or even atomic, scale. It is this demand for engineering new materials that improve energy storage, safety, and power management metrics, combined with the desired cost stack of inputs to the final battery price, that has a big impact on ALD Nano’s business. The key for us to succeed is to enable the new battery materials with atomic layer deposition technologies that not only solve various technical challenges to reach performance metrics, but can also scale at very low cost.
About ALD NanoSolutions  ALD NanoSolutions (ALD Nano) is creating cost-effective advanced materials through its unique portfolio of atomic layer deposition technologies to transform industries.


Beneq expands in Coating Services

Erik Østreng to lead the new Coating Services business unit 

Beneq, the leading global supplier of atomic layer deposition (ALD) equipment and thin film coating services, and world's premier manufacturer of thin film electroluminescent displays, today announced further investments in ALD coating services and outsourced thin film coating production and the appointment of Erik Østreng as Head of Coating Services.

 
Dr. Erik Østreng (33) has been appointed to lead Beneq’s new business unit for coating services. He will be responsible for the business result and development of the Beneq Coating Services business unit in alignment with Beneq’s strategy, with a focus especially on improving the offering of the coating services unit and expanding the outsourced thin film production model to new application areas.

Coating Services seen as a major growth area

Beneq’s Coating Services business unit offers turnkey production solutions for thin film coatings based on Atomic Layer Deposition (ALD) in Beneq’s Espoo factory, the Home of ALD.

The growing interest from the customers towards the outsourcing solutions is now driving further investments in the area. Growth is expected in many industries, from anti-tarnish coatings for silver and anti-corrosion protection of electronic components and machine parts, to optical coatings and moisture barrier solutions for sensitive electronics, such microdisplays and PCB’s.

Combined with Beneq’s Thin Film Development Services that offer outsourced thin film R&D services, the Beneq Coating Services business unit provides a one-stop solution for companies looking for new ways to improve their products with ALD coatings, from the first ALD samples and coating chemistry research to production pilots and full-blown ALD manufacturing.

Full article: LINK
 

Thursday, January 25, 2018

High Dielectric Constant Materials for Nanoscale Devices and Beyond

Here is a nice review on the introduction of high-k materials in the semiconductor industry and a future outlook by Prof. Hiroshi Iwai at Tokyo and Prof. Akira Toriumi Institute of Technology and their partner Prof. Durga Misra at New Jersey Institute of Technology. Thank you for sharing this one Rob Clark! The paper is part of a winter special issue in Interface (by ECS) with focus on "Importance of dielectric science"  and is free for download.
 


The authors conclude that:
  • The step coverage advantage of atomic layer deposition (ALD and is possible for, high‑k migration to FinFET CMOS technology.
  • The use of high‑k on new semiconductor substrates such as III-V, Ge and 2D materials is currently being investigated and faces many challenges. 
  • The discovery of ferroelectric properties of HfO2 makes it viable for more potential applications.


High Dielectric Constant Materials for Nanoscale Devices and Beyond
Hiroshi Iwai, Akira Toriumi and Durga Misra

Electrochem. Soc. Interface Winter 2017 volume 26, issue 4, 77-81

Abstract: Tremendous progress of CMOS integrated circuits have been conducted by the down-scaling or the miniaturization of MOSFETs (Metal Oxide Semiconductor Field Effect Transistors). Ten years, ago, the huge direct-tunneling gate leakage current through the thin gate SiO2 film of 1 nm thickness made it impossible to further scale-down the MOSFETs, and replacing the SiO2 by HfO2-based higher-dielectric constant (high-k) material was the solution. In this paper, the history of high-k gate insulator film development and two topics from recent research results regarding ferroelectricity and reliability are described.

Tuesday, January 23, 2018

CMC Conference 2018 Keynote by Intel Litho VP

Register now for early-bird rate to April 24-25 event in Phoenix area
SAN DIEGO, Jan. 23, 2018 /PRNewswire-iReach/ -- TECHCET CA—the advisory service firm providing electronic materials information—and the Critical Materials Council (CMC) of semiconductor fabricators announce that David Bloss, Vice President of Technology and Manufacturing Group, and Director of Lithography Technology Sourcing in Global Supply Management, Intel Corporation, will provide the keynote address at the next CMC Conference to be held April 24-25, 2018 in Chandler, Arizona (http://cmcfabs.org/cmc-events/). Building on the success of the prior CMC Conferences, the 2018 event will feature presentations by technologists from leading fabs, OEMs, materials suppliers, and analysts.


Following the annual members-only CMC meeting held earlier in the week, the 2017 CMC Conference is open to the public. Business drives our world, but technology enables the profitable business of manufacturing new semiconductor devices, and new devices need new materials. Presentation sessions will focus on the following topics:
  • Global Issues & the Supply Chain,
  • Immediate Challenges of Materials & Manufacturing, and
  • Emerging Materials Challenges.
To register for the conference at the early-bird rate of $375 by March 15, 2018—after which the price increases to $450—please got to the website http://cmcfabs.org/registration/.

ABOUT CMC:  The Critical Materials Council (CMC) of Semiconductor Fabricators (CMCFabs.org) is a membership-based organization that works to anticipate and solve critical materials issues in a pre-competitive environment. The CMC is a unit of TECHCET.

ABOUT TECHCET:  TECHCET CA LLC is an advisory service firm focused on process materials supply chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact Diane Scott at info@cmcfabs.org +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.

Media Contact: Lita Shon-Roy, TECHCET CA LLC, 1-480-382-8336, info@techcet.com

Monday, January 22, 2018

Imec present roadmap down to 20 Ångström logic devices

From now on I think that it is time to start using Ångström instead of Nanometer (nm) when talking about leading edge CMOS and Memory.  At SEMI:s ISS 2018 (Industry Strategy Symposium) last week Luc van den Hove, Chief Executive Officer and President of Interuniversity MicroElectronics Center (IMEC) presented their roadmap for what future Logic nodes might look like going down to 2 nm that is 20 Ångström.

Key interconnect technologies named "scaling boosters" to reach down to 2 nm may be :
  • Continued scaling of self-aligned contacts
  • Cobalt "Super Via" 20 nm wide
  • Burried Ruthenium Rails only 10 nm wide, which seems to be a evolution of the tungsten burried Word Lines and Bitlines introduced by Qimonda for DRAM (65nm in 2009) except offcourse these rails are burried in a dielectric which will  make it stackable. 



Imec Logic roadmap and technologies, Picture from Twitter (LINK)


Besides the interconnect technologies the presentation showed evolution from FinFETs to nanowire FETs and Imecs latest technology development in 300 mm wafer processing technology:
  • Introduction of triple pattering (Much More ALD!)
  • EUV Litography and the introduction Carbon Nano Tube CNT Pellicle for EUV mask protection
  • Smoothening technology in patterning like Atomic Layer Etching for improving the local critical dimension uniformity (LCDU) down from 2.7 to 1.4 nm
In the case of memory technology Imec now focuses on 4 non-volatile types of memory cells besides DRAM and 3DNAND Flash:
  • STTRAM - spin transfer torque magnetoresistive random-access memory
  • RRAM - resistive random-access memory
  • FeRAM - ferroelectric random-access memory (should be renamed DD-RAM for Dresden)
  • SOTRAM - Spin Orbit Torque random-access memory



Sunday, January 21, 2018

The use of ALD in Intel & Globalfoundires leading edge technology

At IEDM 2017 in San Fransisco in December in the Advanced Platform Technologies session Intel and Globalfoundries presented their 10 nm and 7 nm technology, respectively. Intel and Globalfoundries (as well as TSMC) are using different node names, however these two nodes have similar density and can be compared side by side. In a recent article by Scotten Jones in SemiWiki the Intel 10 nm vs. Globalfoundries 7 nm are compared based on previous disclosures, interviews and the IEDM 2017 papers.

This is a very interesting article for those of you who like to dig in deeper into the most leading edge technologies in production 2018 and you can imagine that none of this would have been possible without ALD:
  • Both Intel and Globalfoundries are using SAQP for the fins patterning, presumably using PEALD liners that are on offer from ASM International, Applied Materials and others.
  • Intel is using its fifth generation of high-k metal gates (HKMG). As you know, Intel introduced HKMG in 2007 at 45 nm ahead of the rest of the industry. Whereas Globalfoundries is at its 4th generation, depending on how you count. The IBM Alliance started of with MOCVD High-k (Tokyo Electron at AMD/Globalfoundries and Applied Materials at STMicro) for 32 nm and then moved to ALD high-k (ASM Pulsar 3000) and I am assuming still uses that ALD work horse.
  • In the case of Intel, the contact metal stack also includes a conformal titanium layer and it remains to be seen if this is possibly an ALD process - we know that Prof. Winter has showed amazing progress in thermal ALD of Ti-rich layers, but this could as well be PECVD if the thermal budget allows or some sneaky trics from the Applied Materials PVD magicians in Santa Clara.
  • In the case of Cobalt, we have to assume that the Intel Cobalt vias and lines are not realized by CVD. They are most probably deposited by a Electroless Deposition (ELD) process. ELD Cobalt is claimed to provide void-free bottoms-up pre-filling of vias and contacts as presented by Imec and Lam Research (Solid State Technology LINK) some time ago. In case of the Cobalt liners and Caps used to encapsulate the copper vias and lines by both Intel and Globalfoundries it is safe to assume that these are CVD processes using Applied Materials Cobalt CVD chambers (Endura Volta LINK). Here we know about published work from ALD Cobalt and selective ALD Cobalt that may or may not have come into play (Marissa Kerrigan et al Chem. Mater., 2017, 29 (17), pp 7458–7466)
  • Further up in the Copper layers, the Globalfoundries technology also offer MIM Capacitors that can be either for decoupling or potentially also include embedded DRAM memory cells in a via integration. These have in many cases in older nodes been realized by using low thermal budget ALD or PEALD ZrO2 node dielectric (e.g. from STMicro, Renesas).
  • Adding to all this, there are a multitude of liners, diffusion barriers as well as multiple patterning in BEOL where ALD may have come into play. It is safe to assume that for each node there is more ALD in play.
These speculations are open for debate! :-)

IEDM 2017 - Intel Versus GLOBALFOUNDRIES at the Leading Edge 

by Scotten Jones Published on 12-22-2017 08:00 AM

Article: LINK

Intel 10 nm vs. Globalfoundries 7 nm Fins beautifully conformally coverd by ALD High-k/Metal Gate stacks (SemiWiki).
 

Saturday, January 20, 2018

Hafnium product breakthrough consolidates Dubbo Project business case

Alkane - Hafnium product breakthrough consolidates Dubbo Project business case.

Summary
  • Alkane’s wholly owned subsidiary, Australian Strategic Materials Ltd (ASM), has developed high‐purity hafnium dioxide products tailored to meet market requirements.
  • Hafnium oxide exceeding 99.8% HfO2, and 99.9% (Hf+Zr)O2, has been produced using a proprietary process to separate hafnium from zirconium at the demonstration pilot plant at ANSTO.
  • Over the past 12 months, ASM has consulted extensively with industry to confirm growing market demand for high‐purity hafnium, which currently depends on supply from the production of zirconium metal for specialty alloys and the nuclear industry.
  • Global shortage of hafnium anticipated as demand is poised to outstrip current supply.
  • Hafnium metal for super alloys is currently trading in a US$800 ‐ $900/kg range. The ASM business case assumes a conservative product price of US$500/kg for its oxide.
  • ASM will initially produce 25tpa hafnium to meet projected market demand, ramping up to higher quantities as required.

Download : Download (PDF, 718KB)

Development of high‐purity hafnium dioxide

Australian Strategic Materials Ltd (ASM), a wholly owned subsidiary of Alkane Resources, has successfully developed a high‐purity hafnium dioxide (HfO2) product that will be directly marketable as a feed material for a number of downstream applications and for producing metallic hafnium. The technical specifications of this material have been tailored to meet global market requirements, following extensive industry consultation over the past 12 months. 

Metallic hafnium is the fastest growing market for hafnium and the most significant by volume, particularly due to the use of hafnium in superalloys and other aerospace alloys. The usual precursor is hafnium dioxide, which needs to be recovered from zirconium metal production streams. The high purity hafnium dioxide developed by ASM exceeds 99.8% HfO2, and 99.9% (Hf+Zr)O2, providing the ideal feedstock for the high‐purity metallic hafnium that is in demand for alloying purposes. A high purity hafnium chemical precursor has also been developed.

The new products were produced by a proprietary process at ASM’s Demonstration Pilot Plant at the Australian Nuclear Science and Technology Organisation (ANSTO) in Sydney, New South Wales. The process flowsheet for the Dubbo Project consists of a sulphuric acid leach followed by solvent extraction recovery and refining to produce several products, including zirconium, hafnium, niobium and rare earths. The process pathway to recover hafnium was developed in 2015 and proved during 2017, and allows the flexible recovery of high‐purity hafnium dioxide from the high‐purity zirconium stream.

The development of this high‐purity hafnium dioxide material demonstrates the ability of ASM to produce a highly marketable hafnium product out of the Dubbo Project. ASM will continue to work with industry to secure offtake agreements and develop further enhanced products, with the view to establishing a customer base for hafnium products ahead of plant commissioning.
 
 
 

Hafnium market and outlook for future growth

Global demand for hafnium is rising particularly for use in metallic form, which currently accounts for around 85 per cent by volume (60% superalloys, 15% plasma cutting tips, 10% nuclear control rods). Emerging aerospace applications are expected to consume further significant volumes of metallic hafnium. Hafnium dioxide is meanwhile emerging as a material of choice in semiconductors and data storage devices (ferro‐electric applications), while many future industries such as those based on its thermo‐electric properties and super high temperature ceramics for supersonic aircraft and space vehicles will also rely on materials containing hafnium.

Projected growth in demand is poised to exceed current production, which is limited to about 70tpa (83tpa HfO2), since hafnium is typically only extracted from zirconium processing streams for nuclear energy applications requiring high‐purity zirconium. Market research by ASM and independent international market consultants forecasts a 2026 base demand of 112tpa (132tpa HfO2), and an unconstrained high‐demand case of 151tpa (178tpa HfO2).
 
 
The Project represents a unique source of hafnium that is independent of all traditional markets – including China (currently accounting for at least 75% of world production of zirconium materials, and over 95% of zirconium chemicals), the nuclear zirconium industry and the zircon industry in general. To ensure a sustainable business case, the ASM processing plant will undergo staged ramp‐up in production volumes to keep pace with world demand. Start‐up volumes will be around 25tpa hafnium metal (30tpa HfO2), increasing in line with market growth; full plant capacity is conservatively estimated at 200tpa.

Hafnium product pricing remains opaque due to security issues and limited production but the metal for super alloys is currently trading in a US$800 ‐ $900/kg range. The ASM business case assumes a conservative product price of US$500/kg for its oxide. 

UPDATE: EFDS ALD for Industry 2018 - Workshop and Tutorial, 21-22 March Dresden, Germany

A topical workshop with focus on industrialization and commercialization of ALD for current and emerging markets

Atomic Layer Deposition (ALD) is used to deposit ultrathin and highly conformal thin films. ALD is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. According to market estimates the equipment market alone is currently at an annual revenue of US$ 1.5 - 1.7 billion (2017) and it is expected to double in the next 4- 5 years.

In a European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users. Here, Dresden and Saxony isa unique ALD hotspot due to a strong semiconductor and equipment industry.

Program: LINK

Event page : LINK
The Event will focus on the current markets for ALD, besides the leading edge semiconductor industry, applications in MEMS and Sensors, Display, Lightning, Barriers and Photovoltaics will be addressed.
Presentations and tutorials from: Globalfoundries, University of Helsinki, Air Liquide, Linköping University, Tyndall National Institute, Fraunhofer, TU Dresden, Picosun, Beneq, Veeco CNT, MKS Instruments, Osram Opto, Pegasus Chemicals, Techcet LLC

REGISTRATION OPENS & PROGRAM RELEASE 23 of January 2018!

Registration Fees:

ALD for Industry (Workshop & Tutorial)

Early bird registration (before February 15, 2018): 690,00 EUR
Standard registration: 790,00 EUR
Students: 395,00 EUR

Workshop only

Early bird registration (before February 15, 2018): 490,00 EUR
Standard registration: 590,00 EUR
Students: 290,00 EUR

Tutorial only

Early bird registration (before February 15, 2018): 290,00 EUR
Standard registration: 390,00 EUR
Students: 180,00 EUR

Support:




Wednesday, January 17, 2018

Tutorial in Plasma assisted atomic level processing – PEALD & ALE at PSE2018

Plasma assisted atomic level processing – PEALD & ALE
Sunday, September 16, 2018
The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also  insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, in cooperation with Jonas Sundqvist, Fraunhofer IKTS.



Garmisch-Partenkirchen, Germany (source: panoramio, Wikipedia)

Atomic Layer Etching Workshop (ALE2018) Call for Abstracts

Atomic Layer Etching Workshop (ALE2018) Call for Abstracts.

Abstract Submission - ALD 2018 - AVS.org
Submission Guidelines. Prospective authors are invited to submit their abstracts online by February 16, 2018. Please review Steps 1-5 below before entering the online abstract submission site.


Tuesday, January 16, 2018

Picosun collaborates with ST in 300 mm power semiconductors

ESPOO, Finland, 16th January, 2018 – Picosun Oy, a leading supplier of Atomic Layer Deposition (ALD) thin film coating technology for global industries, partners with STMicroelectronics S.r.l. to develop the next generation 300 mm production solutions for advanced power electronics.

Power electronic components are right at the heart of many core elements of our society, where energy saving, sparing use of natural resources, and CO2 emission reductions are called for to provide for sustainable future. Energy production with renewables such as wind and solar, clean transportation with electric vehicles and trains, and industrial manufacturing with energy-smart power management and factory automation are key markets where the demand for advanced power components is increasing.


Most power semiconductor industries use 200 mm wafers as substrates. Transfer to 300 mm enables more efficient, ecological, and economical production through larger throughputs with relatively smaller material losses, and adaptation of novel manufacturing processes such as ALD allows smaller chip sizes with increased level of integration.

As a part of the funded project R3-POWERUP (*), Picosun’s PICOPLATFORM™ 300 ALD cluster tool will be optimized and validated for 300 mm production of power electronic components. The SEMI S2 certified PICOPLATFORM™ 300 cluster tool consists of two PICOSUN™ P-300S ALD reactors, one dedicated for high-k dielectric oxides and one for nitrides, connected together and operated under constant vacuum with a central vacuum robot substrate handling unit. The ALD reactors are equipped with Picosun’s proprietary Picoflow™ feature which enables conformal ALD depositions in high aspect ratios up to 1:2500 and even beyond. Substrate loading is realized with an EFEM with FOUP ports. The fully automated cluster tool can be integrated into the production line and connected to factory host via SECS/GEM interface.

“Our PICOPLATFORM™ 300 cluster tools have already proven their strength in conventional IC applications, so expansion to the power semiconductors is only natural. We are very pleased to work with a company such as STMicroelectronics to tailor and validate our 300 mm ALD production solutions to this rapidly growing market. This is also a prime opportunity both to contribute to the future of European semiconductor industries, and to utilize ALD to provide technological solutions to the global ecological and societal challenges such as climate change and dwindling natural resources,” summarizes Juhana Kostamo, Managing Director of Picosun.

Saturday, January 13, 2018

MPD Chemicals Acquires Specialty Chemical Manufacturer Norquay Technology

Norqay Technology, a company with more that 30 years experience in organo metallic precursors for ALD and CVD has just been acquired by MPD Chemicals. Please find press release below.

TREVOSE, Pa., Jan. 11, 2018 /PRNewswire/MPD Chemicals (MPD), a US-based manufacturer of specialty chemicals and custom synthesis solutions, announced today the expansion of its manufacturing capabilities, product portfolio and customer base with the acquisition of Norquay Technology, Inc. (Norquay). Located in Chester Pennsylvania, Norquay is the fourth acquisition to be integrated into the MPD Holdings platform, an Addison Capital portfolio company; existing MPD businesses include Monomer Polymer & Dajac Labs, Silar, and IsoSciences.

Norquay is a specialty chemical manufacturer with over 30 years of expertise in providing the scale-up and production of advanced proprietary custom materials, including organometallic, inorganic and organic molecules. Norquay’s product line includes chromic, electronic, catalyst, ligand, medical adhesive and UV performance products, with a customer base that ranges from startups to large multi-national corporations.

Thursday, January 11, 2018

Atomic Level Processing on top in Most Read JVST A Articles in 2017

Atomic Level Processing on top in Most Read JVST A Articles in 2017, including the Virtual Project on the History of ALD (VPHA) recommended reading list. A lot of Etch papers, and many of them from Lam Research.




Review Article: Recommended reading list of early publications on atomic layer deposition — Outcome of the “Virtual Project on the History of ALD”
Esko Ahvenniemi, Andrew R. Akbashev, Saima Ali, Mikhael Bechelany, Maria Berdova, Stefan Boyadjiev, David C. Cameron, Rong Chen, Mikhail Chubarov, Veronique Cremers, Anjana Devi, Viktor Drozd, Liliya Elnikova, Gloria Gottardi, Kestutis Grigoras, Dennis M. Hausmann, Cheol Seong Hwang, Shih-Hui Jen, Tanja Kallio, Jaana Kanervo, Ivan Khmelnitskiy, Do Han Kim, Lev Klibanov, Yury Koshtyal, A. Outi I. Krause, Jakob Kuhs, Irina Kärkkänen, Marja-Leena Kääriäinen, Tommi Kääriäinen, Luca Lamagna, Adam A. Łapicki, Markku Leskelä, Harri Lipsanen, Jussi Lyytinen, Anatoly Malkov, Anatoly Malygin, Abdelkader Mennad, Christian Militzer, Jyrki Molarius, Małgorzata Norek, Çağla Özgit-Akgün, Mikhail Panov, Henrik Pedersen, Fabien Piallat, Georgi Popov, Riikka L. Puurunen, Geert Rampelberg, Robin H. A. Ras, Erwan Rauwel, Fred Roozeboom, Timo Sajavaara, Hossein Salami, Hele Savin, Nathanaelle Schneider, Thomas E. Seidel, Jonas Sundqvist, Dmitry B. Suyatin, Tobias Törndahl, J. Ruud van Ommen, Claudia Wiemer, Oili M. E. Ylivaara, Oksana Yurkevich
JVST A 35, 010801 (2017) | Read More

Predicting synergy in atomic layer etching
Keren J. Kanarik, Samantha Tan, Wenbing Yang, Taeseung Kim, Thorsten Lill, Alexander Kabansky, Eric A. Hudson, Tomihito Ohba, Kazuo Nojiri, Jengyi Yu, Rich Wise, Ivan L. Berry, Yang Pan, Jeffrey Marks, Richard A. Gottscho
JVST A 35, 05C302 (2017) | Read More

Role of neutral transport in aspect ratio dependent plasma etching of three-dimensional features
Chad M. Huard, Yiting Zhang, Saravanapriyan Sriraman, Alex Paterson, Mark J. Kushner
JVST A 35, 05C301 (2017) | Read More

Atomic layer etching in close-to-conventional plasma etch tools
Andy Goodyear and Mike Cooke
JVST A 35, 01A105 (2017) | Read More
 
Quasi-atomic layer etching of silicon nitride
Sonam D. Sherpa and Alok Ranjan
JVST A 35, 01A102 (2017) | Read More

Atomic layer etching of SiO 2 by alternating an O 2 plasma with
fluorocarbon film deposition
Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi
JVST A 35, 01A103 (2017) | Read More

Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions
Chad M. Huard, Yiting Zhang, Saravanapriyan Sriraman, Alex Paterson, Keren J. Kanarik, Mark J. Kushner
JVST A 35, 031306 (2017) | Read More

Review Article: Reactions of fluorine atoms with silicon, revisited, again
Vincent M. Donnelly
JVST A 35, 05C202 (2017) | Read More

Correcting defects in area selective molecular layer deposition
Richard G. Closser, David S. Bergsman, Luis Ruelas, Fatemeh Sadat Minaye Hashemi, Stacey F. Bent
JVST A 35, 031509 (2017) | Read More

Investigation of feature orientation and consequences of ion tilting during plasma etching with a three-dimensional feature profile simulator
Yiting Zhang, Chad Huard, Saravanapriyan Sriraman, Jun Belen, Alex Paterson, Mark J. Kushner
JVST A 35, 021303 (2017) | Read More

Wednesday, January 10, 2018

Characterizing the field of Atomic Layer Deposition: Authors, topics, and collaborations

Elsa Alvaro, Angel Yanguas-Gil  are done so you can stop what you are doing right now and check out the most interesting ALD publication in 2018! Find out what have been and what are the hot topics, materials applications, authors in the field of ALD. Also a big congratulations to the two most productive authors in scientific publishing in the field of ALD, Prof. Markku Leskelä and and Prof. Mikko Ritala of Helsinki University of Finland.

Characterizing the field of Atomic Layer Deposition: Authors, topics, and collaborations [OPEN ACCESS]

Elsa Alvaro, Angel Yanguas-Gil
Published: January 10, 2018
https://doi.org/10.1371/journal.pone.0189137 
 
This paper describes how Atomic Layer Deposition (ALD) has evolved over time using a combination of bibliometric, social network, and text analysis. We examined the rate of knowledge production as well as changes in authors, journals, and collaborators, showing a steady growth of ALD research. The study of the collaboration network of ALD scientists over time points out that the ALD research community is becoming larger and more interconnected, with a largest connected component that spans 90% of the authors in 2015. In addition, the evolution of network centrality measures (degree and betweenness centrality) and author productivity revealed the central figures in ALD over time, including new “stars” appearing in the last decade. Finally, the study of the title words in our dataset is consistent with a shift in focus on research topics towards energy applications and nanotechnology. 

This is an open access article, free of all copyright, and may be freely reproduced, distributed, transmitted, modified, built upon, or otherwise used by anyone for any lawful purpose. The work is made available under the Creative Commons CC0 public domain dedication.
 
 
 
Number of papers (a) and journals (b) that publish ALD research, and percentage among SCIE papers (c) and journals (d).https://doi.org/10.1371/journal.pone.0189137.g001 
 
 
 
Top 10 most productive authors in ALD. https://doi.org/10.1371/journal.pone.0189137.t004 

Osram orders multi-reactor Propel HVM and K475i MOCVD systems from Veeco for high-volume photonics and LED applications

Epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA says that Osram Opto Semiconductors GmbH of Regensburg, Germany has ordered a multi-reactor Propel High-Volume Manufacturing (HVM) gallium nitride (GaN) metal-organic chemical vapor deposition (MOCVD) system, as well as K475i MOCVD systems. 

The K475i system incorporates Veeco’s Uniform FlowFlange technology, producing films with very high uniformity and improved within-wafer and wafer-to-wafer repeatability with what is claimed to be the industry’s lowest particle generation for demanding applications like photonics and advanced LEDs.  

Source: Semiconductor Today LINK



Incorporating proprietary TurboDisc and Uniform FlowFlange™ MOCVD technologies, the new K475i system enables Veeco customers to reduce LED cost per wafer by up to 20 percent compared to alternative systems through higher productivity, best-in-class yields and reduced operating expenses. (Source: Veeco LINK)

Saturday, January 6, 2018

New ALD High-k / 2D MoS2 light-erasable memory suitable for large area manufacturing technology

Phys.Org reports that researchers at the Institute of Microelectronics Chinese Academy of Sciences (IMECAS), and Fudan University have used 2D MoS2 to design a new light-erasable memory.

According to the article in Applied Physics Letter, the memory stack is based on an high-k dielectric stack (Al2O3/HfO2/Al2O3) and an atomically thin MoS2 channel, where he HfO2 act as the charge trapping layer. The holes in the HfO2 charge-trapping layer will tunnel to the MoS2 channel through the 4 nm Al2O3 tunnel layer. 
 
 
Schematic band diagrams of the MoS2/Al2O3/HfO2/Al2O3/Gate structure at (a) flat-band condition, (b) programming operation, and (c) erasing operation. (Supplementary information, Applied Physics Letters. DOI: 10.1063/1.5000552)

"In general, system-on-panel (SOP) describes a new display technology in which both active and passive components are integrated in one panel package, typically on the same glass substrate (sometimes system-on-panel is also named system-on-glass)," coauthor Hao Zhu at Fudan University told Phys.org. "This is different from traditional display technologies such as cathode ray tube (CRT) displays. One major characteristic of SOP is the application of thin-film technology, such as low-temperature poly-silicon (LTPS) thin-film transistor (TFT) arrays on the glass substrate. However, silicon-based thin-film transistors are being replaced by TFTs with new materials with improved properties. The indium gallium zinc oxide (IGZO) or zinc tin oxide (ZTO) thin film mentioned in our paper is also a good example. [Phys.org]

"Currently, we are working on the large-scale integration of such light-erasable 2-D memory devices using programmable light pulses with controllable wavelength and pulse duration," he said. "We are using new material synthesis methods such as atomic layer deposition to grow large-area MoS2 and other 2-D ultra-thin films for circuit-level applications." 
[Phys.org]

The future prospects for large scale manufacturing are there. Except for the MoS2 channel, both Al2O3 and HfO2 are standard ALD processing technologies since more than 10 years in the semiconductor industry and recent developments for flexible OLED Display manufacturing  has made the ALD technology also available for large panel processing and roll to roll technology is just looking for an excuse high volume manufacturing.
 
Article: Long-Fei He et al. "Light-erasable embedded charge-trapping memory based on MoS2 for system-on-panel applications." Applied Physics Letters. DOI: 10.1063/1.5000552

Full story: LINK

Lam Research and Tokyo Electron took market shares in 2017

Currently the fabs are running hot and expanding and 2018 is expected to continue to grow according to OEMs and market research companies like o VLSI Research (CEO Dan Hutcheson, see below). Solid State Technology reports, based on recent market research by The Information Network (LINK) that Market leader Applied Materials lost market shares in 2017 to the main competitor Tokyo Electron and Lam Research.

"Applied Materials 1.3 share points, dropping from 28.2% in 2016 to 26.9% YTD (year to date). Gaining share are Tokyo Electron Ltd. (TEL), which gained 2.4 share points while rising from 17.0% in 2016 to 19.4% in 2017 YTD. Lam Research gained 1.6 share points and growing from a 19.0% share in 2016 to a 20.6% share in 2017 YTD."


The three companies compete in the following areas with huge growth due to the memory boom in 2017 (3DNAND and DRAM):

  • conductor and dielectric etch equipment
  • deposition equipment - single/multiwafer ALD and CVD
CVD equipment share is roughly 3X that of ALD and ALD passed PVD in 2015 (according to VLSI Research). Furnace ALD and CVD is dominated by Tokyo Electron and Kokusai, however it is a smaller segment as compared to single and multi wafer ALD and CVD. ASMI, the leader in ALD single wafer equipment does not seem to have been able to grow with memory, down from 2.0% to 1.7%.

Please find the full article here: LINK