Thursday, February 15, 2024

Scalable Electronic-Grade Van der Waals Tellurium Thin Films

Scalable Electronic-Grade Van der Waals Tellurium Thin Films: A study demonstrated a scalable ALD route for creating electronic-grade van der Waals tellurium (Te) thin films. By employing acid-base precursors and co-reactants, the research team successfully produced dense, continuous Te thin films on a wafer scale. This breakthrough is crucial for various electronic devices, promising enhancements in transistors, rectifiers, and selection elements.


Process Controlled Ruthenium on 2D Engineered V-MXene via Atomic Layer Deposition for Human Healthcare Monitoring

Engineering 2D MXene Family with Precious Metals: A novel approach has been introduced for the engineering of the 2D MXene family using precious metals through ALD techniques. This development opens new possibilities in personal healthcare devices, clean energy conversion, and storage systems by enabling the integration of precious metals like Ru, Ir, Pt, and Pd at an atomic scale, enhancing surface activity and energy performance​​.

In the study, a traveling-wave type thermal Atomic Layer Deposition (ALD) reactor (Lucida D-100, NCD Technology, Korea) was utilized to deposit ruthenium films on SiO2/Si wafers and delaminated V2CTx MXene. The ruthenium metal-organic precursor used was tricarbonyl(trimethylenemethane)ruthenium, [Ru(TMM)(CO)3], provided by TANAKA Precious Metals (Japan). Oxygen (O2) served as the reactant gas in the deposition process. The ALD process involved a sequence of precursor pulsing, nitrogen purging, reactant gas pulsing, and another nitrogen purging to ensure self-limiting growth and uniform film deposition.



A schematic of atomic layer deposition process and step coverage of ALD-Ru film. Credit: Advanced Science (2023). DOI: 10.1002/advs.202206355

The key highlights and potential applications of this research include:

Enhanced Temperature Sensing Performance: The delaminated V-MXene engineered with ruthenium via ALD shows a threefold increase in temperature sensing performance compared to V-MXene alone. This improvement is attributed to the highly ordered few-layer structure of V-MXene and the controlled atomic doping of ruthenium, forming a heterostructure that enhances sensing and reversibility.

Advanced Material Characterization: The study uses high-resolution electron microscopy techniques coupled with next-generation technology for detailed investigation of the heterostructure's formation, providing insights into the role of ruthenium in improving the sensor's performance.

Potential for Healthcare Applications: The sensor's high sensitivity and reliability in temperature detection make it suitable for various healthcare applications, including real-time skin temperature monitoring, non-contact touch, and breathing rate detection. This could be particularly useful for personal healthcare devices, offering a non-invasive way to monitor vital signs and detect potential health issues early.

Human-Machine Interface: The sensor's ability to detect temperature changes accurately and reliably can be applied in human-machine interfaces, such as wearable devices or smart textiles, enhancing user interaction through temperature-sensitive controls or feedback mechanisms.

Scalability and Environmental Consideration: The use of an industrially scalable ALD technique for sensor development, combined with a mild etching process for V-MXene synthesis, points towards the potential for large-scale production with reduced environmental impact.

Versatility and Multifunctionality: The combination of V-MXene's large surface area, hydrophilicity, and the electronic properties of ruthenium suggests that beyond temperature sensing, this material system could be explored for other applications like humidity sensing, energy storage, and conversion, indicating a broad scope for future research and development.

Wednesday, February 7, 2024

Tyler J. Myers Launches The ALDepartment YouTube Channel to Explore and Expand the World of Atomic Layer Deposition

Tyler J. Myers has launched a new initiative called The ALDepartment, following his departure from the ALD Stories podcast. This project aims to delve deeper into the field of Atomic Layer Deposition (ALD) by creating a platform that encompasses a wide array of ALD-related topics. The ALDepartment, hosted on YouTube, is set to feature educational content, interviews with influential figures in the ALD community, commentary on recent developments within the field, and even some entertainment-focused videos.




Myers' first video on the channel serves as an introduction, outlining his motivations for starting this venture and what viewers can expect from future content. 


Tuesday, February 6, 2024

Powering the Future: The Rise of Compound Semiconductor Substrates and Epiwafers

Yole Group reports that the compound semiconductor substrate market is on the brink of a significant transformation, poised to reach a staggering US$3.3 billion by 2029, with an impressive compound annual growth rate of 17% from 2023 to 2029. This growth is underpinned by the relentless innovation and strategic foresight of leading players like Wolfspeed and Coherent, who are continuously refining their product portfolios and expanding their market footprints.

Atomic Layer Deposition (ALD) and Atomic Layer Etching (ALE) play specific roles in the compound semiconductor industry. ALD is used to apply ultra-thin layers crucial for semiconductor devices, especially in insulating layers and gate dielectrics in transistors. ALE, with its precise etching capability, is key for crafting fine details in devices, often used in the patterning of nanoscale structures in LEDs and high-frequency transistors. These technologies support the development of advanced, reliable applications in power electronics and photonics.

At the heart of this industry evolution are the advancements in compound semiconductor technologies, spanning materials such as Silicon Carbide (SiC), Gallium Nitride (GaN), and Indium Phosphide (InP). These materials are catalyzing a revolution across various sectors, with SiC leading the charge in the automotive industry, particularly within the burgeoning 800V electric vehicle segment. GaN, on the other hand, is making inroads into consumer electronics and automotive applications, promising to redefine power electronics with its superior efficiency.

Check link below for High-Res graph

The impact of compound semiconductors extends beyond power electronics into the realm of photonics, where InP and GaAs are setting new benchmarks. InP, for instance, is witnessing a resurgence, driven by its critical role in AI applications, while GaAs photonics continues to grow, albeit at a steadier pace.

Yole Group, a market research and strategy consulting firm, in its latest "Status of Compound Semiconductors Industry 2024" report, provides an exhaustive analysis of these trends. The report delves into each substrate's market dynamics and technological advancements, offering a comprehensive overview of the ecosystem.

LINK: Compound semiconductors industry: an unprecedented promise (yolegroup.com)

As the industry stands at the precipice of transitioning to larger diameter substrates, the demand for high-data-rate lasers in AI is pushing for a shift to 6” InP substrates. Concurrently, GaAs is exploring the potential of 8” manufacturing for MicroLEDs, despite the challenges it faces against OLED technology.

In this dynamic landscape, companies like Wolfspeed and Coherent are not just participants but are leading the charge towards a more efficient, technologically advanced future. Their efforts in expanding material capacity and forging strategic alliances are testament to the industry's readiness to embrace the next wave of semiconductor innovation.


Saturday, January 27, 2024

AlixLabs Celebrates Gold Sponsorship at ALD/ALE 2024 in Helsinki: Honoring Dr. Tuomo Suntola and Embracing Advances in Atomic Layer Etching

 We are proud to be Gold Sponsors of ALD/ALE 2024 in Helsinki, Finland . We look forward to contribute to the conference program and meet you in the exhibition. We especially look forward to join the celebration 50 Years of ALD and honor the inventor and Millennium Prize Winner 2018 Dr. Tuomo Suntola.


It is also a prime event for Atomic Layer Etching this year since our CTO Dr. Dmitry Suyatin and our Advisory Board Member Prof. Fred Roozeboom are co-chairing the ALE Conference!

Please visit The Conference Page for full details: https://ald2024.avs.org/





Thursday, January 25, 2024

Chipmetrics sichert sich 2,4 Mio. Euro Seed-Finanzierung für Produktinnovation und globale Expansion

24. Januar 2024 – Joensuu, Finnland - Chipmetrics Ltd, ein Technologieunternehmen im Bereich Atomic Layer Deposition (ALD) und Pionier in der Entwicklung von 3D-Prüfelementen mit ultrahohem Aspektverhältnis für die Vermessung von fortgeschrittenen Halbleiter- und Dünnschicht-Produktionsprozessen, hat erfolgreich eine Finanzierungsrunde in Höhe von 2,4 Millionen Euro abgeschlossen. Das internationale Investorenkonsortium wird vom High-Tech Gründerfonds (HTGF) und OCCIDENT aus Deutschland angeführt. Zu den weiteren Investoren zählen Innovestor, REDSTONE und BALD Engineering.


Gründungs- und Management-Team von Chipmetrics: v. l. n. r. Feng Gao (CTO), Pasi Hyttinen (CDO) und Mikko Utriainen (CEO) (Bild: Chipmetrics)

Die Investition bestätigt die bahnbrechenden Fortschritte von Chipmetrics im Bereich der auf PillarHall®-Chips basierenden Messtechnologie, die das Unternehmen an die Spitze der Konformitätsmessung in Materialabscheidungsprozessen gebracht hat. Die Finanzierung wird es Chipmetrics ermöglichen, die Produktentwicklung zu beschleunigen, die Produktionskapazität zu erhöhen und die Marktpräsenz mit dem bestehenden internationalen Kundenstamm auszubauen.

Mikko Utriainen, Gründer und CEO von Chipmetrics, unterstreicht die Bedeutung dieser Investition für die Innovationsbestrebungen des Unternehmens und seine globale Marktexpansion. „Diese Investition gibt unseren Wachstumsplänen und unserem technologischen Fortschritt weiteren Auftrieb. Wir sind entschlossen, unser Produktportfolio zu erweitern, unsere Messlösungen zu verfeinern und unser globales Vertriebsnetz zu stärken“, so Utriainen.

Olaf Joeressen, Senior Investment Manager beim HTGF, kommentiert: „Der Fokus des Teams auf innovative Lösungen für seine Kunden ist beeindruckend und ich freue mich auf weitere Produkt- und Serviceinnovationen von Chipmetrics!“

Simon Schild von Spannenberg, Investment Manager bei OCCIDENT, ergänzt: „Chipmetrics hat sich mit seinen innovativen PillarHall® Testchips bereits eine starke Position in der Dünnschichtprozesskontrolle aufgebaut. Die Produkte von Chipmetrics bieten eine einzigartige Präzision, sowie kosteneffiziente Messungen von komplexen 3D-Strukturen. Unser Investment unterstreicht das Vertrauen in das Chipmetrics-Team und in den Erfolg dieser hochinnovativen Technologie auf dem globalen Halbleitermarkt.“

Über Chipmetrics

Chipmetrics Oy entwickelt und liefert messtechnische Lösungen für Herstellungsprozesse in der Halbleiterindustrie. Das Unternehmen vertreibt innovative Messchips und Messdienstleistungen, deren Anwendungen sich auf die ALD-Technologie konzentrieren. Das Hauptprodukt des Unternehmens ist der PillarHall®-Messchip zur Messung der erzielbaren Konformität von Schichten in Dünnschicht-Produktionsprozessen. Das Unternehmen wurde 2019 gegründet und hat seinen Sitz in Joensuu, Finnland. Darüber hinaus hat das Unternehmen Mitarbeiter und Vertriebspartner in Japan, Südkorea, den USA und Deutschland.
Weitere Informationen finden Sie unter www.chipmetrics.com.

Über den High-Tech Gründerfonds  

Der Seedinvestor High-Tech Gründerfonds (HTGF) finanziert Technologie-Start-ups mit Wachstumspotential und hat seit 2005 mehr als 700 Start-ups begleitet. Mit dem Start des vierten Fonds hat der HTGF rund 1,4 Milliarden Euro under Management. Das Team aus erfahrenen Investment Managern und Start-up-Experten unterstützt die jungen Unternehmen mit Know-how, Unternehmergeist und Leidenschaft. Der Fokus liegt auf High-Tech Gründungen aus den Bereichen Digital-Tech, Industrial-Tech, Life Sciences, Chemie und angrenzende Geschäftsfelder. Rund 5 Milliarden Euro Kapital investierten externe Investoren bislang in mehr als 2.000 Folgefinanzierungsrunden in das HTGF-Portfolio. Außerdem hat der Fonds bereits Anteile an mehr als 170 Unternehmen erfolgreich verkauft.  Zu den Fondsinvestoren der Public-Private-Partnership zählen das Bundesministerium für Wirtschaft und Klimaschutz, die KfW Capital sowie 45 Unternehmen aus unterschiedlichsten Branchen. Mehr erfahren unter: www.htgf.de

Über OCCIDENT

OCCIDENT ist ein internationaler Venture Capital Investor mit Standorten in München und Zug, ist eigentümergeführt und investiert eigenes Vermögen. Der Anspruch ist, durch nachhaltige und sinnstiftende Investitionen einen positiven Beitrag für die Gesellschaft zu leisten. OCCIDENT investiert in innovative Deep Tech Startups mit exzellenten Technologien, breiten Anwendungsmöglichkeiten und dem Potential für Weiterentwicklung aus den Bereichen Lifesciences, Industrial Tech und Digital. OCCIDENT ist ein finanzstarker, unternehmerisch orientierter Partner und bietet vielseitige Unterstützung der Portfoliounternehmen durch ein kompetentes Expertenteam und die eigene Erfahrung als Entrepreneur.


Über Innovestor

Innovestor ist eine finnische Investmentgesellschaft, die sich auf Risikokapital und Immobilien konzentriert. Darüber hinaus bietet Innovestor Dienstleistungen im Bereich Corporate Venturing an. Das Unternehmen verwaltet derzeit sechs VC-Fonds mit einem Gesamtkapital von über 250 Millionen Euro. Mit fast 100 Wachstumsunternehmen in den Bereichen Technologie, Biowissenschaften und Gesundheit ist es eines der größten privaten Risikokapitalportfolios in den nordischen Ländern. Unser Ziel ist es, gutes Geld zu verdienen.


Über Redstone

Redstone, mit Hauptsitz in Berlin und Büros in Zürich und Helsinki ist eine europäische Venture-Capital-Gesellschaft, die ausgewählte Investmentstrategien verfolgt. Mit seinem datengesteuerten Ansatz entwickelt Redstone eine starke Branchenkompetenz und baut wertvolle Netzwerke für sein Portfolio auf.

Als einer der aktivsten Frühphaseninvestoren in Europa verwaltet Redstone ein vielfältiges Portfolio von über 80 Unternehmen und konzentriert sich auf Fintech, Industrial Tech, Built World, Social Impact und Quantum.

Kontakt:

Mikko Utriainen, CEO, Chipmetrics Ltd

E-Mail: mikko.utriainen@chipmetrics.com;

Tel: +358 10 348 1344

Chipmetrics Secures € 2.4 Million Seed Funding Round to Accelerate Product Innovation and Global Expansion.

24.01.2024– Joensuu, Finland: Chipmetrics Ltd, the Atomic Layer Deposition (ALD) technology company and a pioneer in 3D ultra-high aspect ratio test elements for metrology of semiconductor and advanced thin film material manufacturing, proudly announces the successful closure of a €2.4 million investment round. The international investor syndicate was led by High-Tech Gründerfonds (HTGF) and co-led by OCCIDENT from Germany. Other investors were Innovestor, REDSTONE and BALD Engineering. This substantial investment serves as a testament to Chipmetrics’ groundbreaking advancements in the PillarHall® metrology test chip technology, positioning the company as a frontrunner in conformality measurements in material deposition processes. The funds raised will be instrumental in accelerating Chipmetric’s product development efforts, scaling production capabilities, and expanding its market presence with the already existing international customer base.


Founders and management team of Chipmetrics Ltd. Persons in the picture, from left to right: Feng Gao (CTO), Pasi Hyttinen (CDO) and Mikko Utriainen (CEO).


Mikko Utriainen, the visionary founder & CEO of Chipmetrics, highlights the investment’s role in driving the company’s innovative pursuits and global market expansion. “This investment is a boost for our growth plans and technological advancements. We are committed to enhancing our product portfolio, refine our measurement solutions, and reinforce our global sales network ” Utriainen states.

Olaf Joeressen, Senior Investment Manager of HTGF comments: “The team’s focus on delivering innovative solutions to their customers is impressive, and I look forward to more product and service innovations delivered by Chipmetrics!”

Investment manager Simon Schild von Spannenberg from OCCIDENT continues: “Chipmetrics has already established a reputable position in thin film process development with its innovative PillarHall® Lateral High Aspect Ratio (LHAR) test chips. Chipmetrics’ products convince with their unique user interface, precision, speed, and cost-efficiency in the complex 3D measurements. Our investment reflects our confidence in the Chipmetrics’ team and the success of the highly innovative technology on the global semiconductor market.”

About Chipmetrics

Chipmetrics Oy develops and delivers metrology solutions for manufacturing processes for the semiconductor industry. The company’s business is based on the sale of innovative metrology chips and measurement services whose applications are focused on ALD technology. The company’s main product is the PillarHall® metrology chip for thin film process conformality measurement. The company was founded in 2019 and its head office is in Joensuu, Finland. In addition, the company has employees and sales partners in Japan, South Korea, the USA, and Germany.

For more information, visit www.chipmetrics.com.

About High-Tech Gründerfonds

The seed investor High-Tech Gründerfonds (HTGF) finances tech start-ups with growth potential and has supported more than 700 start-ups since 2005. With the launch of its fourth fund, HTGF now has about 1.4 billion euros under management. Its team of experienced investment managers and start-up experts support young companies with expertise, entrepreneurial spirit and passion. HTGF’s focus is on high-tech start-ups in the fields of digital tech, industrial tech, life sciences, chemistry and related business areas. To date, external investors have injected about 5 billion euros of capital into the HTGF portfolio via more than 2,000 follow-on financing rounds. In addition, HTGF has already successfully sold shares in more than 170 companies. Fund investors in this public-private partnership include the German Federal Ministry for Economic Affairs and Climate Action, KfW Capital and 45 companies from a wide range of industries.

For more information at www.htgf.de.

About OCCIDENT

OCCIDENT is an international venture capital investor with offices in Munich and Zug, is owner-managed and invests its own assets. Its mission is to make a positive contribution to society through sustainable and meaningful investments. OCCIDENT invests in innovative deep tech start-ups with excellent technologies, broad application possibilities and the potential for further development in the fields of life sciences, industrial tech and digital. OCCIDENT acts as a long-term enabler for highly innovative startups and visionary founders.


About Innovestor

Innovestor is a Finnish investment company focusing on venture capital and real estate. In addition, we offer corporate venturing services. The firm currently manages six VC funds with total capital of over €250 million. Consisting of almost 100 growth companies across multiple sectors of technology and life science & health, it represents one of the largest private venture-backed portfolios in the Nordics. Our mission is to make good money.


About Redstone

Redstone, headquartered in Berlin with offices in Zurich and Helsinki, is a European early-stage venture capital firm that pursues selected investment strategies. With its data-driven approach, Redstone develops strong sector expertise, building valuable networks for its portfolio. As one of Europe’s most active early-stage investors, Redstone manages a diverse portfolio of over 80 companies, focusing on Built World, Climate, Fintech, Industrial Tech, Social Impact, and Quantum.

Contact Information:

Mikko Utriainen, CEO, Chipmetrics Ltd


Tel: +358 10 348 1344



Tuesday, January 23, 2024

Significant Investment in ALD Technology for MicroLED & AR: Oxford Instruments' Pioneering Role

Oxford Instruments, a renowned name in the realm of technology, has recently made a significant contribution to the advancement of MicroLED and Augmented Reality (AR) devices. The company has supplied its state-of-the-art Atomic Layer Deposition (ALD) technology to a leading UK manufacturer. This move is set to revolutionize the way we experience consumer-immersive reality products and display devices.

The Rise of MicroLED and AR

The demand for wearable devices with compact form factors and high-definition displays is skyrocketing. This trend is driving extensive research and development in the industry. MicroLEDs stand out in this arena for their ability to offer incredibly small die pitch sizes (less than 10 µm), enabling the miniaturization of wearable display devices without compromising image resolution. However, as pitch sizes shrink, the challenges in manufacturing increase, particularly regarding the damage caused during mesa formation and isolation steps. This damage can significantly impede device performance.


Oxford Instruments' Breakthrough with Plasma ALD

At the 2023 International Conference on Nitride Semiconductors (ICNS) in Fukuoka, Japan, the spotlight was on Plasma ALD technology, provided by Oxford Instruments. This technology has been hailed for its ability to mitigate damage and substantially boost external quantum efficiency – a critical measure of material performance. The Plasma ALD technology from Oxford Instruments, especially their high-K passivation solution, is optimized for smaller dies, enhancing performance in devices like head-mounted displays for virtual reality and smartwatches.


Oxford Instruments' ASP tool for PEALD offers precise, plasma-enhanced thin-film deposition, ideal for semiconductor, photonics, and microLED applications.

Klaas Wisniewski, Oxford Instruments' Strategic Business Development Director, expressed excitement about their Plasma ALD technology's growing market presence. "Our low-damage Plasma ALD technology, especially on our 200 mm capable platform, has been instrumental in doubling the external quantum efficiency for some of our customers at ICNS," said Wisniewski.

Looking Forward: Photonics West 2024

Oxford Instruments is not resting on its laurels. As a leader in compound semiconductor processing equipment, the company is set to participate in Photonics West 2024 in San Francisco. There, Oxford Instruments will showcase its latest optoelectronics processes for augmented, virtual, and mixed reality, as well as quantum and data transfer applications critical for AI and machine learning. This event will be an excellent opportunity for industry professionals to learn how Oxford Instruments' etch, deposition, and Ion Beam process solutions can enhance their projects, ensuring higher efficiency and lower costs.




In conclusion, Oxford Instruments' investment in ALD technology for MicroLED and AR is a game changer, marking a significant step forward in the evolution of consumer electronics and immersive reality experiences.

Atomic Scale Innovation Workshop in Copenhagen

PIONEERING ATOMIC SCALE INNOVATION - FROM MATERIALS TO SCALABLE APPLICATIONS

Hosted by ATLANT 3D, this event is a gathering point for industry experts, researchers, and technical professionals, all focused on exploring the vast potential of Atomic Layer Deposition (ALD) and related technologies.

The future of manufacturing lies in precision and sustainability. At ATLANT3D, we are deeply committed to advancing the frontiers of atomic scale manufacturing, unlocking novel avenues for materials development and scalable applications. This conference is our call to arms, bringing together the brightest minds to push the boundaries of what’s possible.




Topics & Highlights:
• ALD's current market and applications in various industries.
• In-depth presentations on advanced materials innovation.
• Interactive discussions on the future of atomic layer processing.

Speakers:
• PROF. DR. Fred Roozeboom, UNIVERSITY OF TWENTE
Topic: “Atomic Layer Deposition: Its Evolution, Diverse Applications, and Future Prospects”.
• DR. Maksym Plakhotnyuk 🇺🇦, CEO & FOUNDER OF ATLANT 3D
Topic: “Atomic Layer Processing: Driving Global Innovation in Advanced Manufacturing”.
• PROF. DR. Erwin Kessels, EINDHOVEN UNIVERSITY OF TECHNOLOGY Topic: “Innovations in Plasma Processing for Nanoscale Fabrication”.
• DR. Mira Baraket, HEAD OF TECHNOLOGY R&D AT ATLANT 3D
Topic: “Advancements in 2D Materials Synthesis Through Atomic Layer Deposition”.
• DR. Alexander Kozen, UNIVERSITY OF VERMONT
Topic: “Progress and Opportunities for Atomic Layer Deposition to Facilitate Next-Generation Batteries”.
• PROF. DR. Julien Bachmann, FAU ERLANGEN-NÜRNBERG
Topic: “Harnessing ALD for Next-Generation Photovoltaics and Electrochemical Energy Storage”.
• DR. Jacques Kools, CEO & FOUNDER AT ENCAPSULIX
Topic: “ALD Deposited Ultrabarriers for Applications in Electronics, Energy, and Medical Fields”.
• DR. Ville Miikkulainen, ALTO UNIVERSITY
Topic: “Photo-assisted ALD: Enabler for Direct-Write ALD”.

Download brochure:

https://lnkd.in/eyUdPBXj

Special Features:
• Exclusive visit to ATLANT 3D's state-of-the-art A-HUB & Laboratory facilities.
• A social evening for informal networking and collaboration.

Saturday, January 20, 2024

Revolutionizing CPU Memory: How ReRAM is Shaping the Future of High-Bandwidth Applications

In the Semi Engineering article by Brett Lowe, "Developing ReRAM As Next Generation On-Chip Memory For Machine Learning, Image Processing And Other Advanced CPU Applications," the focus is on the burgeoning field of Resistive Random Access Memory (ReRAM) as a promising solution for the limitations of current on-chip CPU memory. The article highlights the inefficiencies in modern CPU operations, primarily due to the energy consumption and delays caused by data transfer between the CPU and off-chip memory. SRAM, the prevalent on-chip memory, falls short in meeting the requirements of advanced applications like AI and 8K video processing, which demand memory access bandwidths up to 10 terabytes per second. ReRAM emerges as a viable alternative, boasting a non-volatile memory cell structure that uses memristor materials to enable data storage. This technology facilitates significant improvements in storage density by employing a 3D stacking approach.



The article delves into the intricacies of ReRAM's structure and functionality, utilizing SEMulator3D Virtual Fabrication for process simulation and architectural visualization. The discussed 3D ReRAM model comprises multiple layers of wordlines and memristors, strategically organized for optimized storage density. The programming of memristors in ReRAM, requiring low current and voltage, aligns well with the integration into advanced logic devices. The piece concludes with the assertion that ReRAM stands as a robust contender to replace SRAM in on-chip memory, particularly for high-bandwidth CPU applications. Its potential to significantly reduce energy consumption and processing delays in data movement positions ReRAM as a pivotal innovation for future CPU developments.

Unveiling the Future of Material Science: Key Takeaways from the MLD and ALD Webinar

In the dynamic world of material science, the recent Applied Materials Picosun webinar held on January 16, 2024 centered on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), offered a deep dive into these groundbreaking technologies and their applications in crafting advanced functional properties. 

LINK to recording: Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)

The webinar was given by Topias Jussila, Doctoral Researcher, Aalto University, Finland. Let's explore how ALD and MLD are shaping the future of materials at the nanoscale.

The Emergence of MLD

Molecular Layer Deposition, though a relative newcomer compared to ALD, has quickly garnered attention for its unique capabilities. MLD, which operates on the principle of sequential molecular layering, offers a versatile platform for creating hybrid materials with tailored properties. The webinar expertly delineated the different types of MLD, such as metal-aliphatics, metal-aromatics, and inorganic-organic multilayers, each presenting its distinct advantages in material fabrication.

 


Synergy of ALD and MLD

The fusion of ALD with MLD emerged as a focal point of discussion. This combination enhances the material properties, allowing for precise control at the nanoscale. The synergy of ALD and MLD opens doors to innovative applications, particularly in microelectronics and nanotechnology, by creating materials with unprecedented electrical, optical, and mechanical properties.

 


Applications That Reshape Industries

The practical applications of MLD and ALD-MLD are vast and varied. Key areas include:

Flexible Barrier Layers: MLD is particularly effective in creating ultra-thin, flexible barrier layers that are impermeable to gases and moisture. This is crucial for applications like organic light-emitting diode (OLED) displays and flexible electronics, where moisture and oxygen can degrade the performance of the devices.

Encapsulation: MLD provides an excellent method for encapsulating sensitive components, protecting them from environmental factors without compromising their functionality.

Photocatalysis: MLD materials are used in photocatalysis applications, which are important in environmental remediation and energy conversion technologies.

Electronics and Semiconductors: The combination of MLD with ALD is particularly advantageous in the electronics and semiconductor industries. It enables the precise deposition of thin films with tailored electrical and optical properties, crucial for advanced microelectronics and photonics.

Biomedical Applications: The versatility of MLD and ALD-MLD coatings also finds applications in the biomedical field, such as in drug delivery systems and bioimaging, where biocompatibility and controlled interactions with biological environments are essential.

Industrialization and Future Outlook

As for the industrialization of MLD, it is a relatively newer field compared to ALD. While ALD has been widely industrialized, particularly in the semiconductor industry, MLD is still primarily in the research and development stage, with growing interest in transitioning to industrial applications. The unique capabilities of MLD in creating organic-inorganic hybrid materials are driving research and potential industrial applications, but widespread industrial adoption might still be in progress.

Conclusion

The ALD and MLD webinar served as a beacon of knowledge, shedding light on the latest advancements and future prospects of these technologies. As we step into an era where material science plays a critical role in technological advancements, the insights from this webinar not only educate but also inspire further exploration and innovation in the field. The future of material science, undoubtedly, holds exciting possibilities, with ALD and MLD at its forefront.


Background: Topias Jussila is a second year PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. Topias carried out his Bachelor’s degree in Chemistry at the University of Helsinki and Master’s degree in Functional Materials at Aalto University. For the past two years, Topias has worked intensively with atomic layer deposition (ALD) and molecular layer deposition (MLD) with a target to develop novel thin film materials with advanced functional properties, having the main focus in iron-based inorganic and inorganic-organic materials. In addition to deposition process development, he has employed a wide range of thin film characterization methods to study the composition, structure, and functional properties of the thin films.

Friday, January 12, 2024

Onsemi Awards AIXTRON for Outstanding Contribution to SiC Fab Productivity in South Korea

Onsemi, a key player in the semiconductor industry, has recognized AIXTRON with a supplier award for its significant contribution to the rapid production ramp-up and productivity increase at onsemi's large silicon carbide (SiC) fabrication facility in South Korea. The facility, one of the world's largest SiC fabs, has benefited from the integration of AIXTRON's new G10-SiC systems. onsemi's successful collaboration with AIXTRON in tool installation and optimization led to substantial improvements in tool operations and maintenance, resulting in greater uptime and higher wafer output. The award from onsemi, a leading manufacturer in the semiconductor sector, highlights AIXTRON's service excellence and the impact of their technology in advancing onsemi's production capabilities.



RayNeo Partners with Qualcomm and Applied Materials to Develop Next-Gen AI-Enabled AR Glasses

RayNeo, a leading company in consumer-grade augmented reality (AR) innovation, has announced a collaboration with Qualcomm Technologies, Inc. and Applied Materials, Inc. to develop a new generation of AI-enabled AR glasses. This collaboration aims to redefine the future of AR glasses by combining the expertise of these technology leaders.


The project will utilize Qualcomm Technologies' Snapdragon® AR1 Gen 1 Platform and Applied Materials' lightweight full-color waveguides, along with RayNeo's comprehensive hardware and software ecosystem. The Snapdragon AR1 Gen 1 is a purpose-built platform designed for AI glasses, offering features such as photo and video capture, support for binocular displays, and on-device AI. This platform is expected to create AI glasses that blend technology with style.



A key focus of the collaboration is the integration of Applied Materials' Photonics platform. Dr. Paul Meissner, Vice President and GM of Applied Materials' Photonics Platforms Business in the Office of the CTO, emphasized their excitement to collaborate with RayNeo and Qualcomm Technologies. Applied Materials' cutting-edge waveguide technology, leveraging their expertise in materials engineering, aims to provide high-quality AR experiences. This technology is a significant step towards creating AR glasses that are thin, lightweight, and suitable for everyday wear.

The collaboration's goal is to develop AI-powered AR glasses that offer astonishingly realistic AR experiences to consumers worldwide. The partnership is set to transform how users perceive and interact with the digital world, making AR glasses a new exciting AI platform for consumers. RayNeo's background in AR innovation and achievements, including launching the world's first full-color MicroLED optical waveguide AR glasses, positions them as a key player in this endeavor.

About RayNeo

RayNeo™, incubated by TCL Electronics (1070.HK), is an industry leader in consumer-grade AR innovation, developing some of the world's most revolutionary AR consumer-grade hardware, software and applications. RayNeo specializes in the research and development of AR technologies with industry-leading optics, display, algorithm and device manufacturing.

Established in 2021, RayNeo has launched the world's first full-color MicroLED optical waveguide AR glasses, achieving several technology breakthroughs in the industry. Alongside winning the "Best Connected Consumer Device" at MWC's Global Mobile Awards (GLOMO) 2023 with NXTWEAR S, RayNeo also developed the innovation consumer XR wearable glasses, RayNeo Air 2, featuring top-tier, cinematic audiovisual experiences with ultimate comfort.

Wednesday, January 10, 2024

NCD supplied repeated ALD equipment for special protective coating

NCD Co., Ltd. has again supplied large-scale productive ALD equipment to a Korean customer. It is for special coating parts used in semiconductor equipment to protect from corrosion and plasma arcing. This contracted equipment is the improved Lucida GSH Series ALD, which is capable of coating more and heavier products at once than the existing equipment. So the customer is able to obtain the greater increased productivity and reduced coating costs.

As semiconductor devices become smaller and more integrated, particles and byproducts that were not a problem before can significantly affect device performance, so the cleaning cycle and life time of parts used in semiconductor equipment are becoming shorter.



Lucida GSH Series ALD

Therefore, atomic layer deposition protective coating on parts can be a very effective solution, and high-quality, uniform atomic layer coating can achieve the effect of increasing the usage time of expensive parts in semiconductor equipment without cleaning and replacement.

Previously, atomic layer deposition protective coating was widely applied to expensive parts such as showerheads and ESCs, but recently, it has been applied to various semiconductor equipment parts with complex gas paths, quartz products with complicated shapes, and high-purity precursor canisters that require the lowest impurity control. The scope of application is gradually increasing so we will look forward to steady and continued expansion of products and markets in this application field.

This repeated contract confirms once again the excellence of NCD's industrial atomic layer deposition technology and equipment to its customers, and it showed NCD to have occupied the leader on the gradually expanding market of atomic layer deposition equipment for part protection coating. NCD will continue to pioneer new atomic layer deposition markets based on its best technology and customer trust.

Monday, January 8, 2024

Intel Receives ASML's First High-NA EUV Lithography Scanner, Pioneering Next-Gen Semiconductor Manufacturing

ASML has delivered its groundbreaking High-NA EUV lithography scanner, the Twinscan EXE:5000, to Intel Oregon. Marking a significant technological leap, this first-of-its-kind scanner boasts a 0.55 NA lens, enabling 8nm resolution for advanced semiconductor manufacturing. Designed for process technologies beyond 3nm, it promises to enhance chip production efficiency and reduce costs. Intel's early adoption of this state-of-the-art equipment, valued between $300-$400 million, positions them at the forefront of the industry, potentially setting new standards in High-NA manufacturing. This development represents a major milestone in semiconductor technology, signaling a new era of innovation and capability in chip production.



Friday, December 29, 2023

ASML's New Chapter: Navigating Tech Innovation and Geopolitical Shifts Under Christophe Fouquet's Leadership

In an era of significant technological and geopolitical changes, ASML, the number one player in the semiconductor industry, stands at a crossroads. The forthcoming retirement of Martin van den Brink and Peter Wennink, who have jointly steered ASML for over a decade, signals the end of a dynamic period. Van den Brink's leadership in technology development propelled ASML to unparalleled heights in the lithography sector, while Wennink’s diplomatic and financial acumen solidified its market dominance. ASML's impact extends beyond technology; it has become a geopolitical force, enhancing the Netherlands and Europe's strategic significance in global politics.


The appointment of Christophe Fouquet as the incoming CEO heralds a new era. Fouquet faces the challenge of maintaining ASML's technological edge while adapting to a market nearing the limits of Moore's Law.
 

As ASML approaches its 40th anniversary in April 2024, it confronts a changing landscape. The company has weathered various phases – from early struggles to market leadership, marked by innovations like the PAS 5500 and immersion lithography. Under Van den Brink, ASML prioritized technological advancement, often at the expense of other factors like reliability.

The appointment of Christophe Fouquet as the incoming CEO heralds a new era. Fouquet faces the challenge of maintaining ASML's technological edge while adapting to a market nearing the limits of Moore's Law. The shift in focus from chip performance to system-level advancements requires a nuanced approach. Additionally, as technology matures, reliability and predictability become crucial for maintaining ASML's competitive edge.

The transition from a "firefighter" engineering culture to one emphasizing process and reliability won't be easy. Fouquet must balance innovation with operational efficiency, ensuring ASML remains responsive to market and geopolitical dynamics. This requires a departure from the legacy of Van den Brink, focusing instead on a holistic, structured approach to development and engineering.

Fouquet's tenure will be pivotal in shaping ASML's future. His leadership must navigate the complexities of a highly competitive industry, geopolitical pressures, and the evolving technological landscape. The challenge lies in fostering a culture that values reliability and process without stifling the innovative spirit that has been ASML's hallmark. As the company moves into its fifth decade, its ability to adapt and evolve under Fouquet's guidance will determine its continued success in a rapidly changing world.

Advancing the Microchip Revolution: EUV Lithography's Challenges and Future Outlook

Extreme Ultraviolet (EUV) lithography represents a significant advancement in semiconductor manufacturing, enabling the production of more compact and efficient integrated circuits, particularly for 7 nm Logic process nodes and below and leading edge DRAM. This technology, developed and marketed primarily by ASML Holding, uses a highly specialized process involving laser-pulsed tin droplet plasma to etch patterns onto substrates at the 13.5 nm wavelength scale. The progression from early prototypes to more efficient models has been remarkable, with modern EUV systems capable of handling 200 wafers per hour, a substantial improvement from initial prototypes.

Looking into the future, EUV lithography is expected to play a critical role in advancing semiconductor technology, especially as the demand for smaller and more powerful chips increases. However, several technological challenges need addressing continiously to fully harness EUV's potential:

1. Optical Component Durability: The EUV process requires highly specialized and sensitive optical components, including mirrors and photomasks. These components are prone to degradation from exposure to high-energy photons and contaminants. Improving their durability and developing efficient cleaning and maintenance processes are crucial.

2. Throughput Efficiency: While significant improvements have been made, further enhancing the throughput of EUV systems is vital. This includes reducing setup times, increasing the speed of the lithography process, and minimizing downtime due to maintenance or component replacement.

3. Pattern Fidelity and Defect Reduction: As circuit patterns become increasingly smaller, maintaining pattern fidelity and reducing defects is challenging. This involves improving the resolution of EUV systems, enhancing photoresist materials to better respond to EUV exposure, and developing more effective methods to mitigate the impact of secondary electrons generated during the lithography process.

EUV Lithography - Balancing Technological Advancements with Energy Challenges

EUV lithography, pivotal in advanced semiconductor manufacturing, faces significant energy consumption challenges. The generation of EUV light, typically via laser-pulsed tin plasma, is inherently energy-intensive. Additionally, maintaining the necessary vacuum environment and cooling systems for these high-precision machines further escalates energy use. As EUV technology becomes more prevalent, especially for producing smaller, more efficient chips, optimizing energy efficiency is critical. Future developments are expected to focus on more efficient light sources, improved system design for energy conservation, and advanced thermal management, aiming to reduce the overall energy footprint of EUV lithography processes.


The semiconductor industry, traditionally known for its high environmental impact, is increasingly embracing sustainability. With the global demand for semiconductors rising, manufacturers face the challenge of scaling up production while addressing substantial water and electricity usage and managing hazardous waste from gases used in manufacturing. Historically, the focus has been on balancing power, performance, and cost. Recently, however, sustainability has emerged as a crucial consideration, with many facilities actively working to decarbonize their supply chains and reduce overall environmental impact (data from imec)

EUV Lithography's Hydrogen Demand: A Growing Concern in Chip Manufacturing

EUV Lithography, also raises concerns regarding its significant hydrogen consumption. The EUV process relies heavily on hydrogen gas to maintain the cleanliness of the optical elements, particularly for preventing tin deposition on the mirrors. The need for a continuous supply of hydrogen to facilitate this cleaning process contributes to the overall operational costs and resource demands of EUV systems. As EUV technology becomes more widespread in chip manufacturing, addressing the sustainability and efficiency of hydrogen usage will be essential, both from an environmental and economic perspective.



In EUV lithography, managing hydrogen usage presents distinct challenges. The technology requires hydrogen for removing contaminants from critical mirrors, demanding systems capable of handling high volumes while maintaining vacuum integrity. This necessity places a premium on innovative system designs that minimize the footprint and energy consumption associated with hydrogen management, directly impacting the cost and efficiency of semiconductor manufacturing. Safety considerations, given hydrogen's flammability, are paramount. Advanced, fuel-free hydrogen management strategies are employed to ensure safety and environmental compliance. These strategies focus on reducing flammability risks and eliminating the need for additional fuels, thereby minimizing carbon emissions and contributing to sustainable manufacturing practices.

Continued research and development in these areas are essential for the advancement of EUV lithography, ensuring it meets the rapidly evolving demands of the semiconductor industry.

Sources: 

Christophe Fouquet’s ASML must reinvent itself – Bits&Chips (bits-chips.nl)

www.imec.be

www.edwards.com

Wikipedia

Samsung Electronics faces challenges in securing tax breaks from the U.S. government for its new chip plant in Taylor, Texas

Samsung Electronics faces challenges in securing tax breaks from the U.S. government for its new chip plant in Taylor, Texas. Amid stiff competition from Intel, Micron Technology, and over 400 other chipmakers, Samsung's negotiations for subsidies under the $53 billion Chips and Science Act are ongoing. The plant, which will produce AI processors, has delayed its mass production start to 2025 due to rising construction costs and disappointing tax benefits. Intel, Micron, and TSMC are also investing heavily in U.S. facilities, intensifying the competition for government funding. Samsung, while not commenting on the negotiations, has highlighted its substantial U.S. investments and cooperation history at a recent event with U.S. lawmakers.

South Korea's Semiconductor Surge Signals Global Tech Revival

South Korea's semiconductor industry is experiencing a remarkable resurgence, marking a turning point in the global tech sector. In November, chip production leaped by 42%, the highest since 2017, while shipments skyrocketed by 80%, the largest increase since 2002. This upturn is a beacon of hope for giants like Samsung Electronics Co. and SK Hynix Inc. The revival extends beyond national borders, suggesting a broader recovery in global tech demand. Amidst challenges, this surge propels South Korea's industrial output and signals a brighter economic forecast for 2023, with emerging technologies fueling further growth.



Source: South Korea Chip Output Jumps in Sign of Returning Global Demand - Bloomberg

TSMC Set to Revolutionize Chip Technology with Trillion-Transistor Packages by 2030

In a groundbreaking announcement at IEDM, TSMC has unveiled ambitious plans to develop chip packages harboring over one trillion transistors and monolithic chips with more than 200 billion transistors by 2030. This visionary goal is set to be achieved through the development of advanced production nodes, including 2nm-class N2 and N2P, and even finer 1.4nm-class A14 and 1nm-class A10 processes. Despite the slowdown in process technology development and existing technical and financial challenges, TSMC remains optimistic about accomplishing these targets within the next five to six years. The company, renowned as the world's largest semiconductor foundry, is confident in overcoming industry hurdles to bring these complex, multi-chiplet systems and more intricate monolithic chips to the forefront of technology. This development signals a significant leap in chip architecture, promising transformative advancements in the tech industry.



Source:

Rising Tide in AR/VR Market: New Era of Spatial Computing Begins Amidst Challenges

The augmented reality (AR) and virtual reality (VR) market is witnessing a resurgence of interest, particularly with the industry's pivot towards the metaverse. Key players like Meta and Apple are at the forefront, with Apple's launch of Vision Pro marking a new phase in spatial computing. This technology is widely viewed as the next evolutionary step in 3D digital interaction.


Source: DSCC

Despite the enthusiasm, the market reality has lagged behind expectations. According to a recent IDC report, global AR/VR headset shipments have seen a consistent decline, dropping 44.6% year-over-year in the second quarter of 2023. This trend highlights the challenges in boosting demand and adoption rates. A critical area for growth lies in innovative display technologies, crucial for developing AR/VR products.


The Quest 3's design is an evolution of that of the Quest 2, combined with elements of the Meta Quest Pro. It uses a pair of LCD displays with a per-eye resolution of 2064×2208 (an increase over the 1832×1920 resolution of the Quest 2), viewed through pancake lenses similar to the Quest Pro to enable a thinner enclosure. The face of the headset is adorned with three "pills" containing sensors and cameras; the two outer pills each contain a monochrome camera used for positional tracking, and a color camera used for mixed reality passthrough. The center pill contains a depth sensor, which is used in combination with other sensors to sense the user's surroundings for boundaries and mixed reality experiences. The Quest 3 uses the Snapdragon XR2 Gen 2, a system-on-chip manufactured by Qualcomm and based on their Snapdragon 8 Gen 2 flagship mobile phone SoC. which Meta has touted as having more than twice the raw graphics (GPU) performance of the Snapdragon XR2 Gen 1 used by the Quest 2 and other similar standalone headsets

The future, however, looks promising. Guillaume Chansin of DSCC anticipates a significant uptick in the AR/VR headset market over the next five years, beginning in 2024. This optimism is fueled by expectations of advanced headsets powered by Qualcomm's Snapdragon XR2 Gen 2, alongside new offerings from Meta, ByteDance, and Apple. Despite a steep price tag, Apple's Vision Pro, equipped with optical inserts from Zeiss, is expected to make a mark in the market.


Apple Vision Pro is an upcoming mixed-reality headset developed by Apple Inc. It was announced on June 5, 2023, at Apple's Worldwide Developers Conference, with availability scheduled for early 2024 in the United States and later that year internationally. It is Apple's first product in another major category since the Apple Watch in 2015

The shift towards multiple displays in AR/VR products is another notable trend, with most devices incorporating dual displays. DSCC projects a staggering increase in display shipments for AR/VR, reaching 124 million units by 2028. While VR is set to dominate consumer spaces, see-through AR will be more prevalent in professional settings.

The battle of display technologies is central to this evolution. While VR and pass-through AR mostly rely on TFT LCD and AMOLED, MicroOLED has started to make inroads. MicroOLED, particularly favored by Apple's Vision Pro, offers high resolution and luminance, crucial for an enhanced user experience. Additionally, the emerging MicroLED technology, known for its high brightness and reliability, is poised to revolutionize see-through AR displays.

Despite these advancements, the AR/VR market continues to grapple with challenges in display technology. Innovations in Micro OLED and MicroLED are essential to overcome these hurdles and drive market growth. As the industry continues to evolve, these technologies will play a pivotal role in shaping the future of spatial computing.

ALD offers significant advantages in Micro OLED and MicroLED display manufacturing. Its ability to deposit ultra-thin, uniform layers is crucial for layer uniformity and display quality. ALD is pivotal for creating barrier layers in Micro OLEDs, protecting them from environmental degradation, and for depositing dielectric layers in MicroLEDs, essential for improving efficiency and reducing pixel cross-talk. Additionally, ALD enhances light extraction, encapsulation, and interface engineering, crucial for flexible and transparent displays. While initially costly, ALD's scalability and material diversity make it a key technology for advancing Micro OLED and MicroLED displays, potentially reducing overall manufacturing costs and enhancing display longevity and performance.

Sources: 

MicroOLED and MicroLED: The Future of AR/VR Displays – Display Daily

Wikipedia

Wednesday, December 27, 2023

Exploring Ultrathin Solar Cells with Professor Carl Hägglund: A Journey from Stanford's ALD Techniques to Plasmonic Solar Cell Optimization

In this episode, Tyler is joined by Professor Carl Hägglund from Uppsala University. They discuss Carl’s motivations for pursuing ultrathin solar cells, how he learned ALD at Stanford in Stacey Bent’s lab, and an unlikely research connection through his child’s school. They also talk about why ALD is useful for plasmonic solar cells, optimization of SnS ALD and his planned path towards a fully realized ultrathin photovoltaic.



00:00 Introduction
01:45 Motivation for fabricating plasmonic solar cells
09:58 Learning ALD at Stanford
22:46 Optimizing SnS ALD process
30:33 Path towards an ultrathin solar cell

Wednesday, December 20, 2023

Enhancing Thin Film Deposition with Plasma-Activated Water: A Novel Approach in Atomic Layer Deposition

The research article "Novel Energetic Co-Reactant for Thermal Oxide Atomic Layer Deposition: The Impact of Plasma-Activated Water on Al2O3 Film Growth" presents a groundbreaking study on the use of plasma-activated water (PAW) in the atomic layer deposition (ALD) of Al2O3 thin films. This study offers significant insights into the potential advantages of using PAW over traditional water in thin film deposition processes.


One of the key findings of this research is the enhanced Growth Per Cycle (GPC) when using PAW as a co-reactant. The study found that PAW led to an increase in GPC of up to 16.4% compared to deionized (DI) water. This enhancement is attributed to the reactive oxygen species present in PAW, such as H2O2 and O3, which are believed to activate substrate sites more effectively, thereby improving both the GPC and the overall quality of the films.

The study also delves into the chemical reactivity of PAW, noting significant changes in its physicochemical properties upon activation. These changes include a decrease in pH, indicating increased acidity, as well as increases in oxidation-reduction potential (ORP), conductivity, and total dissolved solids (TDS). Additionally, the concentration of reactive species like H2O2, NO2−, NO3−, HNO2, and O3 was found to be higher in PAW.

The improved film quality achieved with PAW is another highlight of the study. Films grown using PAW, especially with PAW at a pH of 3.1, displayed a near-stoichiometric O/Al ratio, reduced carbon content, and an expanded bandgap. These characteristics are indicative of a superior film quality compared to those grown using DI water.

Furthermore, the study suggests that a comprehensive understanding of PAW's role in ALD necessitates further investigations. These investigations should explore different temperatures, metal precursors, and PAWs generated by alternate non-thermal plasmas.

The term “PAW-ALD” has been proposed to describe this enhanced variant of the ALD process that incorporates plasma-activated water. This new descriptor highlights the unique approach and potential benefits of using PAW in thin film deposition processes.

Finally, the potential applications of this research are significant. The use of PAW in ALD could mirror the gains observed in plasma-enhanced atomic layer deposition (PEALD) processes that use oxygen plasma, indicating its potential industrial relevance.

Source: 

Nanomaterials 202313(24), 3110; https://doi.org/10.3390/nano13243110

Nanomaterials | Free Full-Text | Novel Energetic Co-Reactant for Thermal Oxide Atomic Layer Deposition: The Impact of Plasma-Activated Water on Al2O3 Film Growth (mdpi.com)

Announcement: Webinar on ALD and MLD Techniques for Advanced Functional Materials

Join us for an enlightening webinar on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), showcasing their combined prowess in the creation of novel inorganic-organic materials. This event is an excellent opportunity for those interested in advanced material sciences and engineering.


Date and Time: Tuesday, 16th of January, 2024 at 14:00 CET

Duration: 45 minutes

This session will provide a comprehensive overview of ALD and MLD, contrasting them with traditional solution-based methods. We will delve into how these techniques enable the formation of high-quality thin films, crucial for practical applications in areas such as optical data storage and wearable energy harvesting devices.

Key Highlights:

- An introduction to ALD-MLD techniques.

- Exploration of state-of-the-art inorganic-organic thin films, including photoactive ferrimagnetic and thermoelectric hybrid thin films.

- Discussion on technical challenges with organic precursors and solutions for industrial-scale application.



Guest Speaker: Topias Jussila, Doctoral Researcher, Aalto University

Topias Jussila is a promising PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. With a background in Chemistry and Functional Materials, his current research focuses on the development of novel thin film materials using ALD and MLD, particularly in the realm of iron-based materials.


Don't miss this opportunity to gain insights into the cutting-edge world of thin film materials and their applications. Register today to secure your spot!


For more information and registration, visit Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)