Thursday, October 29, 2020

ASM International report strong quarterly results driven by continued logic/foundry demand

Strong quarterly results driven by continued logic/foundry demand and an exceptionally high gross margin.
  • New orders at €303 million were 2% above the level of last quarter and 4% above the level of last year.
  • Revenue for the third quarter 2020 was €315 million and decreased 8% compared to the previous quarter, half of that decrease is caused by exchange rate translation differences.
  • Gross profit margin was 49.9% in Q3 2020 compared to 48.3% in the previous quarter, driven by an exceptionally strong mix. Operating result was €84 million compared to €88 million in the previous quarter, mainly due to lower sales, partly compensated by a higher margin percentage and lower costs.
  • Normalized net earnings for the third quarter 2020 were lower at €61 million compared to €77 million in Q2 2020. Main reasons for the decline are the depreciation of the US dollar causing a translation result of €14 million negative as compared to the €6 million negative in Q2 and the €4 million lower result from investments.

“ASM continued to deliver strong results in Q3,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Supply chain and logistical conditions were largely back at normal levels during the quarter. In Q3, despite adverse exchange rate developments, we realized sales of €315 million which is at the high end of the guidance of €300-320 million and down 8% from the level in Q2 but still 16% above the level of Q3 last year. The sales level was again driven by continuous high demand in the logic/foundry segment. Our Q3 order intake, at €303 million, was slightly higher than our guidance of €280-300 million. Supported by again an exceptionally strong mix in the quarter, our gross margin increased to 49.9%.”

Outlook

For Q4, on a currency comparable level, we expect revenue of €330 - €350 million. Q4 bookings, on a currency comparable level, are expected to be in the range of €340 - €360 million. 
 

VLSI Research now expects WFE to increase by 11% in 2020, up from a forecast of +9% last July and a drop of 7% expected last March. For 2021, VLSI forecasts a further increase of 5% in WFE

Supported by a continued healthy market development in the second half we now expect the wafer fab equipment (WFE) market to grow with a high single to low double digit percentage in 2020. We expect to outgrow the WFE market in 2020.






Wednesday, October 28, 2020

Virtual tour of Helsinki ALD Lab - The coolest ALD Lab in the world!

HelsinkiALD group does research in the field of inorganic materials chemistry. In the video you will see how silicon substrates are prepared for atomic layer deposition, precursors are loaded into the ALD reactor, how a thin film deposited on the substrate looks like, and how film thickness is measured with an ellipsometer. You will also visit our electron microscopy facilities and the X-ray laboratory.



TechInsights Webinar: ALD/ALE Process in Commercially Available Memory Devices

2018 saw memory product manufacturers Samsung, Hynix, Toshiba and Micron introducing 64- or 72- stacked layer 3D-NAND devices, and move into 1x generation DRAM devices.

This presentation will examine some of the different structures we have seen through the evolution of these technologies, in particular the latest 3D-NAND and DRAM parts. We will also look at several historical applications of ALD/ALE technology that have been observed through reverse engineering. We will highlight the importance of ALD/ALE process in advanced logic devices. In many cases, the technology could not have advanced without the implementation of ALD technology.

Information and registration: LINK



Stanford and Samsung Display use solar panel tech to create new ultrahigh-res OLED display

Stanford University reports that by expanding on existing designs for electrodes of ultra-thin solar panels, Stanford researchers and collaborators in Korea have developed a new architecture for OLED – organic light-emitting diode – displays that could enable televisions, smartphones and virtual or augmented reality devices with resolutions of up to 10,000 pixels per inch (PPI). (For comparison, the resolutions of new smartphones are around 400 to 500 PPI.) 

The crucial innovation behind both the solar panel and the new OLED is a base layer of reflective metal with nanoscale (smaller than microscopic) corrugations, called an optical metasurface. The metasurface can manipulate the reflective properties of light and thereby allow the different colors to resonate in the pixels. These resonances are key to facilitating effective light extraction from the OLEDs. 


Illustration of the meta-OLED display and the underlying metaphotonic layer, which improves the overall brightness and color of the display while keeping it thin and energy efficient. (Image credit: Courtesy Samsung Advanced Institute of Technology) 

In lab tests, the researchers successfully produced miniature proof-of-concept pixels. Compared with color-filtered white-OLEDs (which are used in OLED televisions) these pixels had a higher color purity and a twofold increase in luminescence efficiency – a measure of how bright the screen is compared to how much energy it uses. They also allow for an ultrahigh pixel density of 10,000 pixels-per-inch.

Full Report: Stanford materials scientists borrow solar panel tech to create new ultrahigh-res OLED display LINK

Friday, October 16, 2020

ALD Stories by Beneq - Meet Professor Riikka Puurunen of Aalto University, Finland

ALD Stories by Beneq - We meet Professor Riikka Puurunen of Aalto University in Finland, and discuss the history of ALD and other topics close to her heart. 



You may also wish to check out the articles we mentioned on this episode: 

A Short History of Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy, Riikka Puurunen 

Thursday, October 15, 2020

Swedish NordAmps has developed InGaAs nanowire transistors with GAA high-k/metal gate

NordAmps in Lund, Sweden, has developed InGaAs nanowire transistors with GAA high-k /metal gate (HKMG) capable of the high frequencies required for 5G and 6G data transfer and logic data processing, with significantly lower energy consumption.

The structures are fully compatible with a standard 300 mm standard Si CMOS flow and require less mask steps compared with conventional technology.


NordAmps represents the convergence of research by global leaders in nanotechnology with leading edge application needs. 

Source: NordAmps LINK

 


 

 

Forge Nano incorporatesthe innovative US ALD company Sundew Technology

Forge Nano incorporates Sundew Technology. Improving speed, cost, and efficiency of nano coatings on wafers and objects.

[Press release, October 15, 2020 LINK] Nanotech company Forge Nano, incorporates cutting edge Sundew technology to their suite of nano-scale, surface engineering solutions.

The new line of industry leading tools offers high speed, cost effective, nano coatings for objects and wafers. Building upon success in the energy storage market, this additional product line is used to develop cutting edge technology in a number of applications, such as; RF Devices (MMICs), Displays, Lighting, Memory, Power Electronics, Medical Devices, Environmental Protection Barriers (nanolaminates) and many more. 


APOLLO uses millisecond response ALD manifold that integrates 10 patented Fast Pneumatic Valves (FPV) to deliver over 100 million trouble-free cycles of composite and nanolaminate ALD films. This manifold is the only ALD manifold that can switch composition every cycle without any throughput penalty (Forgenano.com).

Forge Nano will handle the Sales, Service and Manufacturing of all Sundew systems at their new state of the art Innovation lab near Denver, CO. With 16 commercial systems already in the field, Forge Nano is excited to serve customers around the world, and to expand the adoption of this exciting technology.

“Sundew developed and commercialized cost-effective, high efficiency ALD tools that combine breakthrough innovations to deliver substantial productivity improvements and superior performance. These ALD tools are up to 100x faster, up to 90% more efficient and produce >40x less waste than traditional ALD tools. They enable zero waste processes, faster deposition, thicker films, enhanced ability to deposit more difficult films, and substantially reduced cost and frequency of maintenance.” Ofer Sneh- President Sundew Technologies

“In order to solve big problems, industries are turning to nano solutions. We are excited to bring Sundew’s amazing technology into our portfolio. These additions broaden our ALD equipment capabilities which continue to lead the world in coating more surface area, faster, and more efficient than anyone on the globe. By leveraging our expertise in PALD and Sundew’s speed and efficiency breakthroughs we will continue to make nano coatings easier and cheaper than ever. The technologies of the future will be unlocked at the atomic level.” Dr. Paul Lichty– CEO Forge Nano.

The new systems; HELIOS, THEIA and APOLLO add to Forge Nano’s existing line of tools for powders, particles, and objects. These innovative solutions bring nano coatings for objects and wafers into an entirely new era of speed and efficiency.

The complete line of surface engineering solutions can be found at www.forgenano.com/products

Saturday, October 10, 2020

SPM is looking for Sales Engineers in Austria, Germany, and Italy

We are searching for a Sales Engineer in Austria, Germany, and one in Italy. If you have experience in Semiconductors please apply. 

Send us your CV and motivational letter to sales@spm.li 





Key Responsibilities: 
  • Maintaining and expanding business within the already established sales channels across Europe 
  • Care for the preservation of existing business ties 
  • Identify new markets, discover and explore further opportunities 
  • Build the businesses market position and maximize business growth 
  • Screening for innovations in the semiconductor industry  

Qualifications & Experience: 

  • Background in the semiconductor fab 
  • Technical education (physics, chemistry, electrical engineering) 
  • Sales background and experiences are advantages 
  • Experience in identifying new business opportunities 
  • Self-motivated, enthusiasm and people skills 
  • The joy of working with people in the field 
  • High level of presentation skills English language required, German for Austria or Germany and Italian for Italy

Tuesday, October 6, 2020

Imec demonstrates CNT pellicle utilization on EUV scanner

LEUVEN (Belgium, LINK) October 6, 2020 — Imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, announced today promising results in extreme ultraviolet (EUV) reticle protection. Multiple CNT-based pellicles were mounted on reticles and exposed in the NXE:3300 EUV scanner at imec, demonstrating the successful fabrication and scanner handling of full-field CNT-based pellicles. The tested pellicles had a single-pass EUV transmission up to 97%. The impact on imaging was found to be low and correctable based on critical dimension (CD), dose, and transmission measurements.

A pellicle is a membrane used to protect the photomask from contamination during high-volume semiconductor manufacturing. It is mounted a few millimeters above the surface of the photomask so that if particles land on the pellicle, they will be too far out of focus to print. Developing such an EUV pellicle is very challenging, since 13.5nm light is absorbed by most materials. In addition, stringent thermal, chemical, and mechanical requirements must be achieved. Such highly transparent pellicle is critical to enable high yield and throughput in advanced semiconductor manufacturing. 

Imec demonstrates a CNT Pelicle (photo Imec.be)

Imec has leveraged partners in the semiconductor industry, materials companies and fundamental research to develop an innovative EUV pellicle design with potential to survive scanner powers beyond 600 Watts

“Imec has leveraged partners in the semiconductor industry, materials companies and fundamental research to develop an innovative EUV pellicle design with potential to survive scanner powers beyond 600 Watts,” said Emily Gallagher, principal member of technical staff at imec. “We have seen tremendous progress in carbon nanotube membrane development in the past year and, based on strong collaborations with our partners, are confident it will result in a high-performance pellicle solution in the near future.”

CNTs are one-atom-thick carbon sheets rolled into tubes. The CNTs can be single-, double- or multi-walled and can vary in diameter and in length. These engineered CNTs can be arranged in different configurations to form membranes of different densities. Since 2015, imec has been working with selected CNT suppliers (Canatu Oy and Lintec of America, Inc., Nano-Science & Technology Center) to develop membranes that meet the EUV pellicle targets for properties like transmittance, thermal durability, permeability, and strength and to enable the imaging results reported today. Future work will focus on achieving acceptable lifetimes for high volume manufacturing of these pellicles in scanners.

Heads up ALD-people! On Thursday the ALD Symposium at PRiME2020 start and it is FOR FREE!

This week, the Virtual PRiME 2020 Joint International Meeting will be held under the auspices of 

The Electrochemical Society (ECS)The Electrochemical Society of Japan (ECSJ), and The Korean Electrochemical Society (KECS).

Over 75% of the 3,300+ original abstracts, meant for presentation in Honolulu (Hawaii) this week, are available as digital presentations.

On the online program you can find all info on the presentation files (video, slides, and/or posters).

These can be viewed on-demand in open-access mode, from Oct. 4 – Nov. 9, 2020.

Attendance and participation in PRiME 2020 is FREE and OPEN TO ALL! Only your pre-registration is required.

This includes Symposium G02 that I co-organized: G02 - Atomic Layer Deposition Applications 16.

See the information below for some more general info on our ALD symposium, also on the live sessions on Oct. 7 and 8, Hawaii HST time (!))  

We were sponsored by




Picosun’s cluster ALD solutions enable next generation power electronics

ESPOO, Finland, 6th October 2020 – Picosun Group, the leading supplier of AGILE ALD® (Atomic Layer Deposition) thin film coating solutions for global industries, strengthens its position in power electronics market with several cluster ALD system sales to prominent manufacturers in Europe, USA and Asia.

“Power electronics is an important, fast growing market for Picosun. ALD has potential to solve various challenges manufacturers are facing in this field, and our solutions have enabled our customers to create significant added value in terms of device quality and throughput. At Picosun, we have developed several turn-key production ALD solutions specifically for 4-8 inch wafer markets such as power devices. Especially our cluster ALD systems, such as the PICOSUN® Morpher which we launched last year, have been extremely well received by our customers,” says Juhana Kostamo, Head of Customer Solutions/Deputy CEO of Picosun.

Power components are crucial in a wide range of applications from consumer electronics to transportation, energy production and distribution, including renewables such as wind and solar power generation. These components are typically manufactured on 4-8 inch compound semiconductor wafers such as GaN and SiC. These materials provide various benefits compared to pure silicon, for example higher electron mobility, higher threshold voltage, and ability to operate at higher temperatures. Challenges do exist, however, as GaN and SiC power devices are prone to high interface trap density (leading to parasitic currents and reduced electron mobility) and gate leakage current, and poor threshold voltage stability.

Interface trap density can be reduced by combining pre-cleaning methods with high permittivity, large bandgap insulators. High quality, defect-free high-k dielectric layers such as Al2O3, AlN or ZrO2 etc. are key in reducing power devices’ gate leakage current and to improve electron mobility and threshold voltage stability. A good example here are GaN-based HEMTs (high electron mobility transistors), which are important in various large scale practical applications, and which require efficient gate insulation and surface passivation to achieve optimal functionality.

ALD stands as a superior deposition method here compared to other thin film coating technologies such as PECVD, as ALD produces the most conformal, uniform, and defect-free films with accurate, digitally repeatable thickness control and sharp interfaces. With the right selection of ALD deposition equipment, even multilayer processing is possible i.e. various functional material layers and/or stacked films/nanolaminates can be manufactured in one process run.

PICOSUN® Morpher is a disruptive ALD production platform designed for up to 8 inch wafer industries such as power electronics, MEMS, sensors, LEDs, lasers, optics, and 5G components. Morpher’s operational agility makes the system adaptable to various and changing manufacturing needs, on all business verticals from corporate internal R&D to production and foundry manufacturing, where both the end products and/or customers’ requirements may change rapidly. Morpher can handle several substrate materials, batch and substrate sizes, and ALD materials with leading process quality. Multilayer deposition is possible, and cluster design allows integration of also other processing units such as pre-clean, RIE etc. for fully automated, high throughput continuous vacuum operation.

“In its versatility and transformability, Morpher is the epitome of our principle ‘Agile ALD’. Innovation, constant development and improvement of our ALD solutions to enable our customers’ success is our driving force at Picosun. This applies also to Morpher platform and we have some truly exciting additions to this product family coming in the near future,” summarizes Kostamo.

Friday, October 2, 2020

TANAKA Develops "TRuST" Precursor for CVD/ALD Processes; Using Liquid Ruthenium Precursor to Achieve World's Highest Vapor Pressures

TOKYO, Sep 30, 2020 - (ACN Newswire) - TANAKA Holdings Co., Ltd. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka) announced today that TANAKA Kikinzoku Kogyo K.K. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka), which operates the TANAKA Precious Metals manufacturing business, has developed a new liquid ruthenium precursor named "TRuST" for CVD[1] and ALD[2] processes. The vapor pressure of "TRuST" is more than 100 times higher as compared to that to previous liquid ruthenium (element symbol Ru) precursors[3], which is currently the world's highest vapor pressure value at room temperature as per TANAKA's internal evaluation.

"TRuST" precursor for CVD and ALD processes 
 
Comparison of vapor pressure of precursor
 

During this precursor development, TANAKA Kikinzoku Kogyo focused on material design and synthesis research, while Professor Soo-Hyun Kim, Ph.D., School of Materials Science and Engineering, College of Engineering at Yeungnam University in South Korea, researched to optimize the film formation characteristics.

Technologies developed through this collaboration will help increase performance and reduce energy consumption for the semiconductor devices used in smartphones, computers, and the data centers where demand is to grow well into the future.

Precursors are metal-organic compounds used in chemical vapor deposition (CVD), atomic layer deposition (ALD) and other processes to form thin metal films or metal wiring on substrates. CVD and ALD processes enable the formation of films with superior step coverage on various types of base substrate. These are useful methods for forming films as semiconductors become increasingly miniaturized, with increased structural complexity, and narrower wires with every new generation.

TANAKA Kikinzoku Kogyo has developed a range of precious metal precursors over the years, including ruthenium precursors. This time, computer simulation and other techniques were used to achieve miniaturization and optimization of the molecular structure. This resulted in the successful development of a precious metal compound in a liquid form, with high vapor pressure, and thermal stability suited for film formation, all of which are important characteristics for precursors. As a result, this compound has the world's highest vapor pressure over 100 times higher than that of previous liquid ruthenium precursors. The higher the vapor pressure of precursors and the smaller their molecular structure, the higher the possible concentration of precursor in the film formation chamber, and the greater the adsorption density of precursor molecules on the substrate surface. Compared to previous precursors, this achieves excellent step coverage and improved film formation speed.

According to research carried out at Yeungnam University, ALD film formation at approximately 1.7 angstrom (A) per cycle is possible, which is the world's fastest of its type using liquid ruthenium precursor. Also, specific resistivity after film formation is approximately 13 microhm-cm, which is close to the value of bulk ruthenium metal (7.6 microhm-cm).

When bulk ruthenium is used for vapor deposition, with a temperature as high as 3000 degrees C. However, if it is converted into an organometallic compound of ruthenium precursor, it can be vaporized at low temperatures (between room temperature to 200 degrees C) under vacuum. As a result, the formation of high-quality ruthenium film with excellent step coverage at high productivity is expected. This has been an issue in the past because it is technically difficult to achieve all these characteristics at the same time. With the development of "TRuST" however, it is now possible.

Samples of Shipments of "TRuST" should begin shipping samples are planned to start in October 2020.

Background and TANAKA Kikinzoku Kogyo's development of precursor for CVD/ALD processes

In the past, the most common wiring materials used for semiconductors were copper, tungsten and cobalt. However, as semiconductors have evolved, there are increasing expectations for the precious metal ruthenium to promote further miniaturization of semiconductors because of its lower resistance and higher durability. With its superior characteristics, ruthenium is also being considered for use in transistor gate electrodes and DRAM capacitor electrodes.

The progress of technologies such as IoT, AI, and 5G over recent years has led to rapidly increasing volumes of digital data used in clouds, smartphones, and computers. Therefore, the need for even greater miniaturization of semiconductors is increasing to enable the creation of devices with higher performance and lower energy consumption. As a specialized manufacturer of precious metals, TANAKA Kikinzoku Kogyo will continue contributing to this evolution of semiconductors through the development of high-quality semiconductor materials.

With the support of Professor Soo-Hyun Kim, from the College of Engineering at Yeungnam University, a researcher from TANAKA Kikinzoku Kogyo will give a presentation of this technology at the "International Interconnect Technology Conference (IITC) 2020", which will be held online from October 5-8, 2020. The title of the presentation is High-growth-rate atomic layer deposition of high-quality Ru Using a Novel Ru metalorganic precursor.

[1] Chemical vapor deposition (CVD): CVD is a chemical film formation method. It is a method of forming thin metal films on the surfaces of base materials or substrates through a chemical reaction induced and accelerated by directing energy, in forms such as heat, plasma and light, at gaseous vapor material fed into a reaction chamber at pressures between atmospheric pressures to medium vacuum pressures (100-10-1 Pa).
[2] Atomic layer deposition (ALD): Both CVD and ALD are film formation methods that use chemical reactions inside reaction chambers to deposit material onto substrates through the vapor phase. The main difference between the two methods is that while CVD continually forms atomic and molecular layers, ALD forms the layers intermittently one layer at a time.
[3] Precursors: Precursors are compounds used as the raw material for forming thin metal films and metal wiring on substrates.

Hello ALD! Here is a heads up for the 21-22 October Critical Materials Conference CMC2020 in Virtual Hillsboro, OR USA

Hello ALD! Here is a heads up for the 21-22 October Critical Materials Conference CMC2020 in Virtual Hillsboro, OR USA, co-hosted by Intel. The Emerging Materials Session III chaired by Nicolas Blasco (Air Liquide) and Steve Consiglio (Tokyo Electron) is full of ALD and ALE presentations.

During the conference and at "The not so usual Round Table Discussions," I will be chairing an ALD/CVD forum in our new WHOVA app (https://whova.com/)

Agenda: https://cmcfabs.org/agenda/


- Materials Requirements for Quantum Technologies, Satyavolu Papa Rao PhD NY Creates / SUNY Polytechnic Institute


- Materials Evolution & Challenges In ALD/EPI FEOL, Pamela Fischer PhD, ASM

 
- Selective Deposition for Advanced Patterning, Kandabara Tapily, PhD, TEL


- Selectors for Emerging Memories: How Are They "Materially" Different?, Milind Weling, EMD Performance Materials


- HfO2 Ferroelectrics for NVM and Piezo- and Pyro-sensing, Wenke Weinreich PhD, Fraunhofer IPMS-CNT Applications


- New Etching Gases for Advanced Memory Manufacturing, Ashutosh Misra, Electronics at Air Liquide


- ALD Precursor Developments for Mass Production of Future Semiconductor Devices, Akihiro Nishida, ADEKA


- ALD/CVD Precursor Applications & Market Trends, Terry Francis & Jonas Sundqvist PhD, TECHCET

 



 

Thursday, September 24, 2020

Picosun’s ALD technology boosts UVC LED performance

  • In order to reach maximum light output and long operating lifetime, LED chips require surface passivation to eliminate parasitic currents caused by traps and defects
  • ALD passivation layer could potentially replace the expensive hermetic seal package of the LEDs and thus lower the costs of the final device.
  • Short-wavelength UVC radiation destroys bacteria and viruses so UVC LED technology is particularly topical now during the still ongoing COVID-19 pandemic. 

ESPOO, Finland, 24th September 2020 – Picosun Group, the leading supplier of AGILE ALD® (Atomic Layer Deposition) thin film coating technology, reports excellent results in UVC (ultraviolet-C) LED performance, achieved with the company’s ALD solutions.

Excellent reliability and lifetime improvements of UVC LEDs have been obtained at Picosun’s customer and collaboration partner site, National Chiao Tung University (NCTU), Taiwan, using passivation and barrier films deposited with PICOSUN® ALD equipment(*). ALD passivation layer could potentially replace the expensive hermetic seal package of the LEDs and thus lower the costs of the final device.

 

“We have used Picosun’s ALD technology already for years with great success. Our PICOSUN® ALD equipment yields superior quality films which has helped us to achieve several breakthroughs in our LED research. Picosun has local presence in Taiwan and we appreciate the prompt response of their customer support if we ever have any issues. At the phase when R&D results are to be ramped up to industrial-level production, the scalability of Picosun’s ALD technology is a huge benefit,” comments Professor Hao-Chung Kuo from NCTU.

In order to reach maximum light output and long operating lifetime, LED chips require surface passivation to eliminate parasitic currents caused by traps and defects. Also barrier coating is typically needed as LED materials are sensitive to moisture. ALD is an ideal technique to manufacture both the passivation and barrier films – and when the LED size diminishes to micrometer dimensions, the only coating method capable of producing high enough quality films on the required minuscule scale. Ultra-thin, pinhole-free ALD films do not suppress the LED light intensity and they provide reliable protection against ambient conditions, whereas their superior conformality ensures no thickness variations between the facets of the LED chip. Thickness variations, typical side effect of other coating methods, can potentially lead to uneven distribution of film stress or thermal expansion behavior and risk physical damage of the chip.

Short-wavelength UVC radiation destroys bacteria and viruses so UVC LED technology is particularly topical now during the still ongoing COVID-19 pandemic. Small, lightweight LEDs enable versatile design of portable, compact disinfecting equipment, they consume less power than other UVC sources, they are durable, and they pose no risk of hazardous material leaks such as e.g. mercury lamps.

“We are happy of the achievements of Professor Kuo’s group at NCTU, and how Picosun’s ALD technology has helped them to achieve their goals in UVC LED development. Our long-term collaboration and networking with both the academia and prominent industries in this field gives us the perfect synergy advantage to facilitate implementation of these solutions in industrial manufacturing,” says Mr. Edwin Wu, CEO of Picosun Asia Pte. Ltd.

(*) UVC LED with 50 nm ALD Al2O3 passivation and normal LED packaging (no hermetic seal) maintained 80% of its original efficiency even after 500 hours environmental test at 85% humidity and 85 oC temperature.

Wednesday, September 23, 2020

Reverse Engineering by der8auer - Intel 14nm and AMD/TSMC 7nm transistors compared

Overclocking expert der8auer examined an Intel Core i7-8700K under a scanning electron microscope (SEM) a couple of years ago when that processor was one of Intel's best consumer offerings. This was an interesting exercise but in a recent YouTube video series der8auer has been leading up to a direct comparison between the current state-of-the-art Intel Core i9-10900K and the AMD Ryzen 9 3950X. The former is an Intel 14nm+++ production chip and the latter made for AMD by TSMC on its 7nm process.


 

Tuesday, September 22, 2020

ALD Stories - the untold stories of atomic layer deposition and the people behind the technology

Beneq launch ALD Podcast - Welcome to ALD Stories, a series of conversations where we share the untold stories of atomic layer deposition and the people behind the technology.

In the first episode, we are joined by Patrick Gonzalez an expert in high-performance thin films. We discuss about using spatial ALD on electrochromic windows for environmental and cooling cost benefits.


 Follow ALD Stories on Spotify | Google Podcasts | Apple Podcasts | YouTube

Why Beneq created this podcast

Today there are over 60,000 patents worldwide involving Atomic Layer Deposition. However, despite the vast amount of research and development there are only a handful of public conferences where we can meet our fellow researchers and hear more about the work they have done.

 

Semiconductor Materials Market to Hit $50B in 2020 Up 3% Winds Reverse on the Global Supply-Chain Seas

September 21, 2020: TECHCET announces that 2020 global materials revenues in semiconductor fabrication are now forecasted upward year-over-year (YoY) despite potential disruptions to manufacturing:

  • Overall revenues +2.8% to hit over $50B, versus outlook in April for -3%,
  • Front End Materials +5% to hit $16.4B, and
  • Equipment Components +10% to hit $3.8B.

While the impact of COVID-19 on the global economy is serious, IC fabrication is steady for devices to Work From Home (WFH) and School From Home (SFH). As predicted, leading-edge ICs to build out data centers are in strong demand this year, as part of forecasted 5.4% Compound Annual Growth Rate (CAGR) for fab materials through the year 2024 (Figure below).

“TECHCET now sees Front-End Materials volumes and revenues for the year 2020 to be buoyed up by cloud computing and devices to support Work From Home and School From Home,” remarked Lita Shon-Roy, TECHCET President and CEO.

For Critical Materials Reports™ and Market Briefings: https://techcet.com/shop/

To register for 2020 CMC Conference: https://lnkd.in/eARPxRJ


 

Enabling Next-Generation Power Devices and ALD

 

Wednesday, October 7, 2020

4:00 PM – 5:00 PM CET

If you can't attend the live session,
register for access to a recording for later viewing.

 

Join this free webinar to learn more about:

  • Automotive, consumer electronics and other markets driving demand for power devices
  • Power device technology, product design and manufacturing trends
  • Insights into fast-growing power technologies such as silicon based SJ-MOSFET or IGBT, wide bandgap materials SiC, and GaN
  • Advantages of ALD over alternative deposition methods in the manufacturing process
  • Key buyer considerations when selecting a new ALD tool for power device manufacturing

Who should attend

  • Power device manufacturers
  • Engineers looking to learn more about ALD solutions
  • Power device buyers

Join the live interview!

Patrick Rabinzohn

Patrick Rabinzohn, Ph.D
Business Executive, Semiconductor Business Line, Beneq

Clark Tseng

Clark Tseng
Director, Industry Research & Statistics, SEMI

 Sponsored by

Beneq

Monday, September 21, 2020

Lam Research launch the advanced Striker® FE platform for 3D chip architectures like 3DAND and DRAM

  • Lam has been leading gap fill for a long time and their new proprietary surface modification technique (ICEFill) to achieve highly preferential bottom-up and void-free gapfill while retaining the film quality inherent to atomic layer deposition (ALD).
  • The platform is specially prevalent in 3D NAND devices, as well as prevents collapse issues in DRAM and logic devices.
  • The Striker FE platform with ICEFill technology is part of the Striker ALD product family.
  • Lam applied for ICEFill USPTO Trade Mark February 2020 (LINK)
New Striker® FE enhanced atomic layer deposition platform addresses semiconductor manufacturing challenges for 3D NAND, DRAM, and Logic chipmakers

FREMONT, Calif., Sept. 21, 2020 (LINK) -- Lam Research Corp. (Nasdaq: LRCX) today announced the advanced Striker® FE platform, a new processing solution for manufacturing high-aspect-ratio chip architectures. Striker FE utilizes an innovative, first of its kind ICEFill™ technology for filling extreme structures in 3D NAND, DRAM, and logic devices at emerging nodes. This system delivers the continued cost and technology scaling that is required to meet the semiconductor industry roadmap.

 


Keyword Cluster for Lam Research Gapfill (Patbase) 
 
Lam Research ALD Product family Striker.

Traditional methods of gapfill for semiconductor manufacturing include legacy chemical vapor deposition, diffusion/furnace, and spin-on processes. These techniques are no longer viable for today’s 3D NAND requirements, as they are limited by the tradeoffs between quality, shrinkage, and gapfill voids. In contrast, Lam’s Striker ICEFill harnesses a proprietary surface modification technique to achieve highly preferential bottom-up and void-free gapfill while retaining the film quality inherent to atomic layer deposition (ALD). The ICEFill technology removes the existing limitations for filling high-aspect-ratio features which are especially prevalent in 3D NAND devices, as well as prevents collapse issues in DRAM and logic devices.

 


“Our goal is to provide customers with the most enabling ALD technology,” said Sesha Varadarajan, senior vice president and general manager of the Deposition product group at Lam Research. “This technology combines the ability to produce high quality oxide films with superior gapfill performance, in a single processing system with the productivity advantages offered by our industry leading quad station module architecture.”

The Striker FE platform with ICEFill technology is part of the Striker ALD product family. For more information about the Striker product family visit the product page.

Hafnium (IV) oxide obtained by atomic layer deposition (ALD) technology promotes early osteogenesis

ALD HfO2 is very versatile, first, it saved the whole semiconductor industry (HKMG Technology) and now it has been shown that an ALD HfO2 layer can be applied to cover the surface of metallic biomaterials in order to enhance the healing process of osteoporotic bone fracture. The ALD HfO2 was deposited using the famous Veeco CNT Savannah reactor.

 

 Veeco CNT Savannah ALD reactor (LINK)

 

Hafnium (IV) oxide obtained by atomic layer deposition (ALD) technology promotes early osteogenesis 

A. Seweryn, M. Alicka, A. Fal, K. Kornicka-Garbowska, K. Lawniczak-Jablonska, M. Ozga, P. Kuzmiuk, M. Godlewski & K. Marycz Journal of Nanobiotechnology volume 18, Article number: 132 (2020) 

https://jnanobiotechnology.biomedcentral.com/articles/10.1186/s12951-020-00692-5

Friday, September 18, 2020

SALD deposits trust in new advisory board chaired by Prof. Kessels

Spatial atomic layer deposition equipment manufacturer SALD has set up an advisory board, which, apart from acting as a sounding board to management, will also have an ambassadorial function

The advisory board is chaired by Prof. Erwin Kessels, leader of the Plasma & Materials Processing group at Eindhoven University of Technology. He’s joined by marketing consultant John Niemeijer of The Brandhouse, innovation consultant Peter Visser of Innovisser and accountant Werner Vervest of Vervest Financial Services.

Full article: SALD deposits trust in new advisory board (LINK)
 
Prof. Erwin Kessels was the Awardee of the AVS 2019 ALD Innovator award “For Original Work and Leadership in ALD" (https://ald2019.avs.org/awards/)
 
 

Area-Selective ALD of TiN Using Aromatic Inhibitor Molecules for Metal/Dielectric Selectivity

Just making sure that you have not missed this important and amazing publication from Merkx et al at TU Eindhoven since I missed it for more than a month by now. Selective ALD of TiN  -  woah so beautiful! TiN CVD and ALD is used just about  everywhere in  wafer based-device fabrication:

  • Metal diffusion barriers e.g. for Tungsten (NAND, DRAM, Logic)
  • Metal Gates (HKMG, Workfunction tuning, etc.)
  • Capacitor Electrodes (DRAM, ReRAM, FRAM)
  • Hard mask in BEOL processing
  • And much more 

Please also check out the supporting information on how to create those test samples for the actually selectivity experiments  - I just say it´s done in a very clever way (LINK) .

Area-Selective Atomic Layer Deposition of TiN Using Aromatic Inhibitor Molecules for Metal/Dielectric Selectivity Chemistry of Materials  

Pub Date : 2020-08-13, DOI:10.1021/acs.chemmater.0c02370

Marc J. M. Merkx; Sander Vlaanderen; Tahsin Faraz; Marcel A. Verheijen; Wilhelmus M. M. Kessels; Adriaan J. M. Mackus

Despite the rapid increase in the number of newly developed processes, area-selective atomic layer deposition (ALD) of nitrides is largely unexplored. ALD of nitrides at low temperature is typically achieved by employing a plasma as the coreactant, which is not compatible with most approaches to area-selective ALD. In this work, a plasma-assisted ALD process for area-selective deposition of TiN was developed, which involves dosing of inhibitor molecules at the start of every ALD cycle. Aromatic molecules were identified as suitable inhibitor molecules for metal/dielectric selectivity because of their strong and selective adsorption on transition metal surfaces. A four-step (i.e., ABCD-type) ALD cycle was developed, which comprises aniline inhibitor (step A) and tetrakis(dimethylamino)titanium precursor (step B) dosing steps, followed by an Ar–H2 plasma exposure (step C), during which a substrate bias is applied in the second half of the plasma exposure (step D). This process was demonstrated to allow for ∼6 nm of selective TiN deposition on SiO2 and Al2O3 areas of a nanoscale pattern with Co and Ru non-growth areas. The TiN deposited using this ABCD-type process is of high quality in terms of resistivity (230 ± 30 μΩ cm) and impurity levels. This developed strategy for area-selective ALD of TiN can likely be extended to area-selective ALD of other nitrides.


 

Process Power: The New Lithography - Advanced Energy

Here is a very insightful article by PETER GILLESPIE, VP & GM, Semiconductor Products, Advanced Energy Industries on the progress of Plasma RF Generators and Matching Networks. The article looks at applications in 3DNAND High Aspect Ratio Contacts (HARC) and Logic FinFET transitor fabrication using reactive ion etching and plasma CVD using the latest plasma technology. This is a an articel in a series of three in SEMICONDUCTOR DIGEST entitled “Process Power Steps Out from the Shadows,” looking at the leading edge technology node process challenges to highlight key drivers that are fundamentally transforming the role and importance of process power. 

Process Power: The New Lithography (SEMICONDUCTOR DIGEST, LINK

 

"Evolution of RF power supplies (plasma generators) and RF matching networks. Today’s RF power delivery systems are highly sophisticated with frequency tuning, complex pulsing regimes, and agile micro-second response." (Below)


 

 

Thursday, September 17, 2020

JVST A | Special Collection: Atomic Layer Deposition and Atomic Layer Etching - Call for Papers

The Journal of Vacuum Science and Technology A is soliciting research articles for publication in Special Topic Collections on Atomic Layer Deposition and Atomic Layer Etching. These special topic collections are planned in collaboration with ALD 2020 and the ALE 2020 Workshop, which were held virtually on June 29—July 1, 2020. Each year, in concert with the annual Atomic Layer Deposition (ALD) meeting and Atomic Layer Etching (ALE) Workshop, the Journal of Vacuum Science and Technology A publishes collections of articles covering the most recent developments and experimental studies in ALD and ALE. 
 
 

 
 
These ALD and ALE Special Topic Collections will include papers presented at ALD 2020 and the ALE 2020 Workshop, as well as other ALD and ALE research articles that were not presented at this conference but are submitted to the special collections. 
 
The Collections feature articles dedicated to the science and technology of atomic layer controlled deposition and etching. Authors are encouraged to use the JVST article template. During submission, you will have an opportunity to tell us that your paper is a part of one of the Collections by choosing either the Special Topic or Conference Collection on “Atomic Layer Deposition (ALD)” or “Atomic Layer Etching (ALE).”

Monday, September 7, 2020

Intermolecular - Inherently Ferroelectric Films by ALD Using ZrD-04 and HfD-04

Intermolecular, now part of MERCK, is updateing their R&D research/news blog on regular basis. Here is recent (ALD2020) presentaion on the results of Vijay Kris Narasimhan's research that provide a pathway to developing ferroelectric devices in which the electrodes and thermal processing conditions of the entire stack can be optimized independently with minimal effects on the ferroelectric layer.

Learn more (including embedded PowerPoint presentation): LINK


 

 

Call for papers - JVSTA Special Issue on Area-Selective Deposition

As announced by Prof. Kessels: The Journal of Vacuum Science & Technology A is collecting manuscripts to be published in the Special Issue on Area-Selective Deposition. The deadline is September 22. The Guest Editors are Tania Sandoval and Adrie Mackus. Please visit: LINK
 


Impacts of the US-China trade conflict on the semiconductor equipment market and ALD

Here is an interesting review article  (Seeking Alpha, LINK) covering the trade war between the US and China and the implications on the semiconductor wafer equipment market and the leading OEMs in the US, Asia and Europe. According to the article the confilict strated as a US strategy to reduce the deficit of bilateral trade but is now more about unfair trade practices for technology, IP theft, and prevention in the growth of China’s military strength.

My readout, from an ALD viewpoint, the main OEMs in China are Naura and Shenyang Piotech competeing with mainly ASM International, Tokyo Electron, Kokusai, and South Korean OEMs. If you study the latest quarterly reports from both ASM and TEL you can see that both companies are growing in China. Also Picosun reports increased sales in China, meaning that the China ALD market is defenitly growing and US OEMs risk to be be excluded to participate.

According to the article, a further escalation of the US-China tarde conflict may put the Applied Materials takeover of Kokusai at risk. Besides Batch LPCVD, Kokusai is controlloing the ALD Batch market together with TEL with ASM mainly as a follower. 

ALD has been proven to be a critical technology for Logic and Memory scaling and the cost for continious research and development is high and need to be financed by equipment sales like any leading edge processing technology. Traditionally, Intel has always been a leader in implementing new ALD technology and one of the drivers behind why ASM invested in ALD technology from Finland (Microchemistry) and Plasma ALD from South Korea (Genitech). However, Intel of today does not have the same power as Intel had back then 15 to 20 years ago. If the US-China clash continuous both Applied Materials and Lam Research risk losing market share in the ALD space. You can imagine that a similar risk is there for Etch and Clean. However, for PVD, CMP and metallization processing technology Applied Materials is still very much in control so in this segment I suspect that it will be a a different game. Please check out the article for the big picture!

Article in Sumary:

  • Recent U.S. Department of Commerce restrictions threaten exports of foreign semiconductor equipment suppliers.
  • The uncertainty is creating significant confusion among equipment suppliers, not just in the U.S., but in Japan, Europe, and elsewhere.
  • Current Chinese equipment companies have the capability of processing semiconductor chips down to the state-of-the-art 5nm node.
  • Besides economic ad political ramifications, restrictions could escalate IP theft as Chinese manufacturers reverse engineer superior foreign equipment.
  • Applied Materials will be most impacted by export restrictions of all foreign suppliers, and restrictions will halt the acquisition of Hitachi Kokusai Electric.

Full article: China Equipment Suppliers' Threats For Applied Materials And Peers, by Robert Castellano

 

 Examples of the 300 mm ALD tools offered by Naura (left: Polaris CCP-PEALD for dielectrics and TiN) and Shenyang Piotech (right: FT-300T, PEALD and thermal ALD single wafer for dielectrics and high-k). Naura has additional tools fot thermal single wafer ALD for gate stack and capacitor materials as well as a R&D tool called Promi (below).


 

Friday, September 4, 2020

CMC 2020 anounce sevreal new speakers from Semiconductor Fabs!

 The Critical Materials Council and its annual Conference CMC headed by TECHCET is Semiconductor Fab centric and we are happy to announce many speakers from the Fabs this year (21-22 October):


- 4 Speakers from Intel: Key Note by Bruce Tufts, Vice President of Technology and Director of Fab Materials Organization
- Micron: Shaun Miller, Director, Global FE Procurement Material
- Infineon: Silke Sorger, Senior Director of Purchasing/FE Materials, Facility Management
- Texas Instrument: Phil Hecker, WPL Supplier Quality Director.
- Fraunhofer IPMS: Wenke Weinreich, Head of Business Unit IOT Components & Systems

Please check out the CMC 2020 Agenda and you are most welcome to register: https://cmcfabs.org/agenda/