Saturday, December 26, 2015

MOF integration routes enabled by the MOF-CVD process by Imec & Friends

2015 - The year of The MOF - Here is yet another publication on MOF that you should download and read if you have access to Nature Materials. Here scientists at Imec (Belgium), CSIRO Manufacturing Flagship (Australia), MBI, National University of Singapore and KU Leuven (Belgium) are using different paths to integrate CVD MOF deposition on to patterned structures. One path involves a conformal ZnO liner deposited on a 300 mm ASM Emerald PEALD reactor. So as I understand it Imec is actually now running MOFs in their leading edge 300 mm CMOS line, whih is pretty cool. Unless this work was done elsewhere like at the Hols Centre across the border in the Netherlands, who also have a 300mm ASM Emerald process module.

For your convenience I went through the process of acquiring a online license to publish the abstract and one picture from Nature - Bitteschön!




MOF integration routes enabled by the MOF-CVD process: lift-off patterning and coating of fragile features: a, Schematic diagram of MOF pattern deposition by MOF-CVD and subsequent lift-off of a patterned photoresist. b,c, Scanning electron microscopy images of the manufactured ZIF-8 patterns. d, Schematic diagram of the production of ZIF-8-coated polydimethylsiloxane pillars by soft lithography and MOF-CVD. e, Scanning electron microscopy image of MOF-CVD-coated PDMS pillars. f, Scanning electron microscopy image of identical PDMS pillars after conventional solution processing of ZIF-8. The MOF-CVD processing steps are indicated with a dashed line in a and d. Oxide and MOF films are represented in red and blue, respectively. Scale bars, 100μm for b, 10μm for c, 20μm for e,f, 1μm for insets. (Figure from Nature Materials doi:10.1038/nmat4509, Nature Publishing Group licence for online publishing: 3776661121061)

Chemical vapour deposition of zeolitic imidazolate framework thin films

Ivo Stassen, Mark Styles, Gianluca Grenci, Hans Van Gorp, Willem Vanderlinden, Steven De Feyter,  Paolo Falcaro, Dirk De Vos, Philippe Vereecken & Rob Ameloot
Nature Materials doi:10.1038/nmat4509

Integrating metal–organic frameworks (MOFs) in microelectronics has disruptive potential because of the unique properties of these microporous crystalline materials. Suitable film deposition methods are crucial to leverage MOFs in this field. Conventional solvent-based procedures, typically adapted from powder preparation routes, are incompatible with nanofabrication because of corrosion and contamination risks. We demonstrate a chemical vapour deposition process (MOF-CVD) that enables high-quality films of ZIF-8, a prototypical MOF material, with a uniform and controlled thickness, even on high-aspect-ratio features. Furthermore, we demonstrate how MOF-CVD enables previously inaccessible routes such as lift-off patterning and depositing MOF films on fragile features. The compatibility of MOF-CVD with existing infrastructure, both in research and production facilities, will greatly facilitate MOF integration in microelectronics. MOF-CVD is the first vapour-phase deposition method for any type of microporous crystalline network solid and marks a milestone in processing such materials.

1 comment:

  1. My friend first found your blog on Google and she referred your blog to me.,”..’ https://royalcbd.com/faq/

    ReplyDelete