Showing posts with label MOFs. Show all posts
Showing posts with label MOFs. Show all posts

Friday, November 4, 2022

University of Erlangen demonstrate sALD of Crystalline Metal–Organic Framework Thin Films (MOFs)

For the first time, a procedure has been established for the growth of surface-anchored metal–organic framework (SURMOF) copper(II) benzene-1,4-dicarboxylate (Cu-BDC) thin films of thickness control with single molecule accuracy. For this, we exploit the novel method solution atomic layer deposition (sALD). The sALD growth rate has been determined at 4.5 Å per cycle. The compact and dense SURMOF films grown at room temperature by sALD possess a vastly superior film thickness uniformity than those deposited by conventional solution-based techniques, such as dipping and spraying while featuring clear crystallinity from 100 nm thickness. The highly controlled layer-by-layer growth mechanism of sALD proves crucial to prevent unwanted side reactions such as Ostwald ripening or detrimental island growth, ensuring continuous Cu-BDC film coverage. This successful demonstration of sALD-grown compact continuous Cu-BDC SURMOF films is a paradigm change and provides a key advancement enabling a multitude of applications that require continuous and ultrathin coatings while maintaining tight film thickness specifications, which were previously unattainable with conventional solution-based growth methods.

Solution Atomic Layer Deposition of Smooth, Continuous, Crystalline Metal–Organic Framework Thin Films

Maïssa K. S. Barr*, Soheila Nadiri, Dong-Hui Chen, Peter G. Weidler, Sebastian Bochmann, Helmut Baumgart, Julien Bachmann, and Engelbert Redel*
Chem. Mater. 2022, XXXX, XXX, XXX-XXX
Publication Date:November 2, 2022
https://doi.org/10.1021/acs.chemmater.2c01102



Tuesday, December 22, 2020

Nanoscale lithography of metal–organic frameworks (MOFs)

Here we have it, probably the most beautiful Journal Cover 2021 for the coming cover of the January 2021 issue of Nature Materials, by researchers from KU Leuven in Belgium, TU Munich, Vrije Universiteit Brussel, Graz University of Technology and University of Adelaide.

Nanoscale lithography of metal–organic frameworks

The low dielectric constants and high porosity of MOFs are of interest for applications in electronics and sensors, but patterning techniques for these materials are in their infancy. Here, direct X-ray and electron-beam lithography at sub-50-nm resolution are reported that leave porosity and crystallinity intact.

Tu, M., Xia, B., Kravchenko, D.E. et al. Direct X-ray and electron-beam lithography of halogenated zeolitic imidazolate frameworks. Nat. Mater. 20, 93–99 (2021). https://doi.org/10.1038/s41563-020-00827-x



Image: Rob Ameloot. Cover Design: Thomas Phillips.




Monday, November 4, 2019

An Integrated Cleanroom Process for the Vapor Phase Deposition of Large-Area Zeolitic Imidazolate Framework Thin Films

Alexander John Cruz, Energy Scientist, and Doctoral Candidate, KU Leuven, Belgium recently published an integrated cleanroom process for the vapor-phase deposition of large-area zeolitic imidazolate framework thin films.
Robust and scalable thin film deposition methods are essential to realizing the potential of metal-organic frameworks (MOFs) in electronic devices. Here is the reporting of the first integration of the chemical vapor deposition (CVD) of MOF coatings in a custom reactor within a cleanroom setting. As a test case, the MOF-CVD conditions for ZIF-8 are optimized to enable smooth, pinhole-free, and uniform thin films on full 200 mm wafers under mild conditions.
The single-chamber MOF-CVD process and the impact of the deposition parameters are elucidated via a combination of in-situ monitoring and ex-situ characterization. The resulting process guidelines will pave the way for new MOF-CVD formulations and a plethora of MOF-based devices.


Graphical abstract (as shared on Twitter, LINK)


Journal Publication: Chemistry of Materials, Chem. Mater. 2019Publication Date:October 25, 2019
https://doi.org/10.1021/acs.chemmater.9b03435


Submitted manuscript available for download at ChemRxiv:


----------
By Abhishekkumar Thakur

Monday, August 26, 2019

Vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics (Open Access)

Researches at Imec/KU Leuven show that MOF-CVD ZIF films demonstrate dielectric and mechanical characteristics competitive with state-of-the-art porous OSG dielectrics (a low-k organosilicate glass). They also argue that the MOF-CVD integration process may outperform porous OSG dielectrics in future integration schemes because of the gap-filling nature of the deposition process. Please check details below as well as quite some good stuff available in the Supplementary Information

Vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics (Open Access)

Mikhail Krishtab, Ivo Stassen, Timothée Stassin, Alexander John Cruz, Oguzhan Orkut Okudur, Silvia Armini, Chris Wilson, Stefan De Gendt & Rob Ameloot

Nature Communications volume 10, Article number: 3729 (2019) DOI https://doi.org/10.1038/s41467-019-11703-x

Abstract: The performance of modern chips is strongly related to the multi-layer interconnect structure that interfaces the semiconductor layer with the outside world. The resulting demand to continuously reduce the k-value of the dielectric in these interconnects creates multiple integration challenges and encourages the search for novel materials. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The method relies on the selective conversion of purpose-grown or native metal-oxide films on the metal interconnect lines into MOFs by exposure to organic linker vapor. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young’s modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics. Moreover, the fast nucleation and volume expansion accompanying the oxide-to-MOF conversion enable uniform growth and gap-filling of narrow trenches, as demonstrated for 45 nm half-pitch fork-fork capacitors.
The preparation method is described in detail in the paper and includes a number of PVD, ALD and CVD process steps as follows:

Preparation of MOF-CVD precursor layers on blanket wafer

The layers of ALD ZnO and PVD Co were prepared on highly-doped p++ Si substrates. ALD ZnO deposition was realized at 120 °C by 30 cycles of diethyl zinc (DEZ)/water precursor pulses separated by N2 purge steps (Savannah S200, Veeco Instruments Inc.). PVD Co film was sputtered on Ar-plasma precleaned Si substrate (NC7900, Canon Anelva Corp.).

Preparation of MOF-CVD precursor layer on patterned wafer

The fork–fork capacitor structures featuring 45 nm line/space width were prepared on p-type 300 mm Si-wafers according to a modified integration route (Supplementary Fig. 2) based on using sacrificial amorphous carbon (a-C) layer to form a pattern of passivated copper wires. The initial stack of layers above the substrate consisted of 1000 nm SiOx, 30 nm SiCN diffusion barrier, 90 nm a-C, and a multilayer hard-mask stack. After formation of a device pattern in the top positive resist coating with 193 nm immersion lithography, the pattern features were then transferred into the underlying a-C film. Following the wet removal of hard-mask residues, the exposed surfaces of a-C/SiCN were coated with 3 nm ALD TiN. The subsequent metallization steps included sputtering of 20 nm Cu seed, electroplating of 500 nm Cu, and chemical mechanical polishing down to the a-C film. The removal of a-C sacrificial layer was done in He/H2 remote plasma. Afterward, the metallic lines were passivated with a non-conformal 3 nm PECVD SiCN barrier layer and then additionally covered with a conformal 2 nm PEALD SiNx film. The deposition of CVD Co was realized at 200 °C on VECTOR Excel tool cluster (Lam Research Corp.). Before deposition of CVD Co on the SiCN/SiNx-passivated Cu pattern, the growth conditions were optimized on blanket SiNx surface to obtain 4.0 ± 1.0 nm Co layer across 300 mm wafer (assessed by RBS). ALD ZnO deposition on the metal lines passivated with SiNx layer was performed by applying the same growth conditions as used on blanket wafers (see above).

Vapor-phase conversion process (MOF-CVD)

For the conversion to appropriate ZIF layer, samples with precursor layers were placed in a glassware reactor (Supplementary Fig. 1). The glassware reactor was connected to a vacuum pump via a manual valve. Upon assembly the reactor was checked for leaks. The glass tube containing 2-methylimidazole powder (99%, CAS #693-98-1, Sigma-Aldrich) was connected to one of the ports of the glassware reactor via another manual valve. The whole setup was placed in a furnace preheated at 120 °C. After the temperature stabilization (15 min), the valve to the vacuum pump was opened, and the reactor was evacuated until pressure stabilization below 10 mbar. The vacuum valve was then closed and the valve to the 2-methylimidazole tube opened. The exposure of samples to vapors of 2-methylimidazole was set to 120 min, after which the precursor valve was closed, and the sample area of the reactor was kept under dynamic vacuum for 15 min to remove the unreacted organic linker from the sample surface and pores of formed ZIF films (activation). Finally, the reactor was let to cool down before the samples could be taken out for further characterization.
Two proposed routes for the integration of ultra-low-k MOF dielectrics in on-chip interconnects via the MOF-CVD process. Routes A and B differ in how the MOF precursor layer is formed around the interconnect wires. In Route A, metal oxide to be converted into MOF is deposited after passivation of metal lines, while Route B relies on selective conversion of metal oxide formed through controlled oxidation of the metal pattern From: Vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics
Validation of the MOF-CVD process and characterization of the deposited MOF thin films. a Schematic representation of the conversion of ALD ZnO and native CoOx to ZIF-8 and ZIF-67 and the corresponding increase in thickness as measured by spectroscopic ellipsometry (SE) and from SEM cross-sectional images. b Baseline-corrected GI-XRD diffraction patterns together with simulated powder diffractogram for ZIF-8. c Ellipsometric porosimetry with methanol and water as adsorbates. The amount of adsorbate corresponds to the change of the ellipsometric angle Delta (@633 nm) relative to the value recorded before introducing probe molecules. The values are normalized against the Delta change measured at methanol saturation pressure. d AFM topography images of MOF-CVD films: ZIF-8 (purple frame) and ZIF-67 (light blue frame) From: Vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics
Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons license, and indicate if changes were made. The images or other third party material in this article are included in the article’s Creative Commons license, unless indicated otherwise in a credit line to the material. If material is not included in the article’s Creative Commons license and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this license, visit http://creativecommons.org/licenses/by/4.0/.

Thursday, June 8, 2017

NC State show ALD enhanced zirconia MOFs for protective clothing against chemical weapons

ScienceDaily reports: Since their first use in World War I and most recently by the Assad regime in Syria, chemical weapons with devastating potential have been developed. Therefore scientists have begun exploring the use of zirconium-based metal-organic framework (MOF) powders to degrade and destroy these harmful compounds.
  • Zirconium Asists in neutralizing toxic materials. 
  • MOF powders are unstable and incorporating them onto clothing has proven challenging. 
Therefore Dennis Lee, Gregory N. Parsons et al has investigated growth of MOFs onto fabric at room temperature, which potentially could realize protection by being coated on to uniforms and other protective clothing.


The researchers developed a process were the a fine fabric (nonwoven) commonly used in reusable shopping bags and some clothing is exposed polypropylene, followed by another exposure to a to a mixture consisting of a zirconium-based MOF, a solvent and two binding agents.

Finally, to make sure that the active zirconia MOF-coating spread evenly across the cloth, they treated the fabrics with thin ALD layers of aluminum, titanium or zinc oxide. They tested this combination with dimethyl 4-nitrophenyl phosphate (DMNP), a relatively harmless molecule that has similar reactivity as sarin, soman and other nerve agents.

They found that the MOF-treated cloths deactivated DMNP (a Sarin like compound) in less than 5 minutes, suggesting this process is a viable means to create improved protective clothing.


References:

American Chemical Society. "New fabric coating could thwart chemical weapons, save lives." ScienceDaily. ScienceDaily, 7 June 2017. www.sciencedaily.com/releases/2017/06/170607123930.htm.

Dennis T. Lee, Junjie Zhao, Gregory W. Peterson, Gregory N. Parsons. Catalytic “MOF-Cloth” Formed via Directed Supramolecular Assembly of UiO-66-NH2 Crystals on Atomic Layer Deposition-Coated Textiles for Rapid Degradation of Chemical Warfare Agent Simulants. Chemistry of Materials, 2017; DOI: 10.1021/acs.chemmater.7b00949

Monday, October 24, 2016

Regioselective ALD in MOFs Directed by Dispersion Interactions

Regioselective Atomic Layer Deposition in Metal–Organic Frameworks Directed by Dispersion Interactions

Leighanne C. Gallington, In Soo Kim, Wei-Guang Liu, Andrey A. Yakovenko, Ana E. Platero-Prats, Zhanyong Li, Timothy C. Wang, Joseph T. Hupp, Omar K. Farha, Donald G. Truhlar, Alex B. F. Martinson, and Karena W. Chapman

J. Am. Chem. Soc., 2016, 138 (41), pp 13513–13516
DOI: 10.1021/jacs.6b08711

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/jacs.6b08711.
  • Details of X-ray experiments and analysis and computational modeling (PDF)
  • Video of evolving difference envelope densities during in situ AIM (AVI)




Picure from graphical abstract showing the MOF process steps in relation to a standard ALD process (used with permission RightsLink(R) Account 3000915597)

Abstract: The application of atomic layer deposition (ALD) to metal–organic frameworks (MOFs) offers a promising new approach to synthesize designer functional materials with atomic precision. While ALD on flat substrates is well established, the complexity of the pore architecture and surface chemistry in MOFs present new challenges. Through in situ synchrotron X-ray powder diffraction, we visualize how the deposited atoms are localized and redistribute within the MOF during ALD. We demonstrate that the ALD is regioselective, with preferential deposition of oxy-Zn(II) species within the small pores of NU-1000. Complementary density functional calculations indicate that this startling regioselectivity is driven by dispersion interactions associated with the preferential adsorption sites for the organometallic precursors prior to reaction.

Wednesday, October 5, 2016

ALD 'Nano-kebab' fabric breaks down chemical warfare agents

Angewandte Chemie International Edition has a new interesting paper for all us ALD and Kebab lovers. Check out all the details in the free to download supporting information. A custom ALD system was used for all the ALD.

Researchers have created a fabric material containing nanoscale fibers that are capable of degrading chemical warfare agents (CWAs). Uniform coatings of metal-organic frameworks (MOFs) were synthesized on top of the nanofibers, forming unique kebab-like structures. These MOFs are what break down the CWAs, rendering them harmless. Full story (Picture credit NC State University)


Ultra-Fast Degradation of Chemical Warfare Agents Using MOF–Nanofiber Kebabs

Junjie Zhao, Dennis T. Lee, Robert W. Yaga, Morgan G. Hall, Heather F. Barton, Ian R. Woodward, Christopher J. Oldham, Howard J. Walls, Gregory W. Peterson,* and Gregory N. Parsons*

Angewandte Chemie International Edition (2016). DOI: 10.1002/anie.201606656

The threat associated with chemical warfare agents (CWAs) motivates the development of new materials to provide enhanced protection with a reduced burden. Metal–organic frame-works (MOFs) have recently been shown as highly effective catalysts for detoxifying CWAs, but challenges still remain for integrating MOFs into functional filter media and/or protective garments. Herein, we report a series of MOF–nanofiber kebab structures for fast degradation of CWAs. We found TiO2 coatings deposited via atomic layer deposition (ALD) onto polyamide-6 nanofibers enable the formation of conformal Zr-based MOF thin films including UiO-66, UiO-66-NH2, and UiO-67. Cross-sectional TEM images show that these MOF crystals nucleate and grow directly on and around the nanofibers, with strong attachment to the substrates. These MOF-functionalized nanofibers exhibit excellent reactivity for detoxifying CWAs. The half-lives of a CWA simulant compound and nerve agent soman (GD) are as short as 7.3 min and 2.3 min, respectively. These results therefore provide the earliest report of MOF–nanofiber textile composites capable of ultra-fast degradation of CWAs.

Saturday, February 13, 2016

A Combined Atomic-Layer-Deposition-in-MOF and Metal-Exchange Approach

Here is more ALD MOF work just published in Chemistry of Materials. Or rather AIM-ME = atomic layer deposition in metal–organic frameworks (MOFs) and metal exchange (ME) as a technique to install dispersed metal atoms into the mesoporous MOF. The ALD depositions were performed in a Savanah S100 system from Ultratech Cambridge Nanotech using a home build stainless steal powder reactor insert. Abstract is given blow.

Synthetic Access to Atomically Dispersed Metals in Metal–Organic Frameworks via a Combined Atomic-Layer-Deposition-in-MOF and Metal-Exchange Approach

Rachel C. Klet, Timothy C. Wang, Laura E. Fernandez, Donald G. Truhlar, Joseph T. Hupp, and Omar K. Farha
Chem. Mater., Article ASAP, DOI: 10.1021/acs.chemmater.5b04887

ALD-in-MOF Metal-Exchange (Figure above from graphical abstract)
 
The combination (AIM-ME) of atomic layer deposition in metal–organic frameworks (MOFs) and metal exchange (ME) is introduced as a technique to install dispersed metal atoms into the mesoporous MOF, NU-1000. Zn-AIM, which contains four Zn atoms per Zr6 node, has been synthesized through AIM and further characterized through density functional calculations to provide insight into the possible structure. Zn-AIM was then subjected to modification via transmetalation to yield uniform porous materials that present nonstructural Cu, Co, or Ni atoms.

Saturday, December 26, 2015

MOF integration routes enabled by the MOF-CVD process by Imec & Friends

2015 - The year of The MOF - Here is yet another publication on MOF that you should download and read if you have access to Nature Materials. Here scientists at Imec (Belgium), CSIRO Manufacturing Flagship (Australia), MBI, National University of Singapore and KU Leuven (Belgium) are using different paths to integrate CVD MOF deposition on to patterned structures. One path involves a conformal ZnO liner deposited on a 300 mm ASM Emerald PEALD reactor. So as I understand it Imec is actually now running MOFs in their leading edge 300 mm CMOS line, whih is pretty cool. Unless this work was done elsewhere like at the Hols Centre across the border in the Netherlands, who also have a 300mm ASM Emerald process module.

For your convenience I went through the process of acquiring a online license to publish the abstract and one picture from Nature - Bitteschön!




MOF integration routes enabled by the MOF-CVD process: lift-off patterning and coating of fragile features: a, Schematic diagram of MOF pattern deposition by MOF-CVD and subsequent lift-off of a patterned photoresist. b,c, Scanning electron microscopy images of the manufactured ZIF-8 patterns. d, Schematic diagram of the production of ZIF-8-coated polydimethylsiloxane pillars by soft lithography and MOF-CVD. e, Scanning electron microscopy image of MOF-CVD-coated PDMS pillars. f, Scanning electron microscopy image of identical PDMS pillars after conventional solution processing of ZIF-8. The MOF-CVD processing steps are indicated with a dashed line in a and d. Oxide and MOF films are represented in red and blue, respectively. Scale bars, 100μm for b, 10μm for c, 20μm for e,f, 1μm for insets. (Figure from Nature Materials doi:10.1038/nmat4509, Nature Publishing Group licence for online publishing: 3776661121061)

Chemical vapour deposition of zeolitic imidazolate framework thin films

Ivo Stassen, Mark Styles, Gianluca Grenci, Hans Van Gorp, Willem Vanderlinden, Steven De Feyter,  Paolo Falcaro, Dirk De Vos, Philippe Vereecken & Rob Ameloot
Nature Materials doi:10.1038/nmat4509

Integrating metal–organic frameworks (MOFs) in microelectronics has disruptive potential because of the unique properties of these microporous crystalline materials. Suitable film deposition methods are crucial to leverage MOFs in this field. Conventional solvent-based procedures, typically adapted from powder preparation routes, are incompatible with nanofabrication because of corrosion and contamination risks. We demonstrate a chemical vapour deposition process (MOF-CVD) that enables high-quality films of ZIF-8, a prototypical MOF material, with a uniform and controlled thickness, even on high-aspect-ratio features. Furthermore, we demonstrate how MOF-CVD enables previously inaccessible routes such as lift-off patterning and depositing MOF films on fragile features. The compatibility of MOF-CVD with existing infrastructure, both in research and production facilities, will greatly facilitate MOF integration in microelectronics. MOF-CVD is the first vapour-phase deposition method for any type of microporous crystalline network solid and marks a milestone in processing such materials.

Saturday, December 19, 2015

How to ALD in Metal-Organic Framworks (MOFs) using Ultratech/CNT Savannah

Here is a fresh open source publication on a rather hot topic - using ALD in Metal-Organic Framworks (MOFs). It is really a fantastic publication giving step by step detailed instructions how to perform the materials synthesis. The researchers come from Northwestern University, Argonne National Laboratory and King Abdulaziz University. They are using ALD to deposit into the extremely well defined porous material. The ALD processing is performed in the popular Ultratech/Cambridge Nanotech Savannah reactor using a grid powder holder (see description below). Some of the researchers are involved in a startup company, NuMat Technologies, which is seeking to commercialize metal-organic frameworks.

 
Background:  MOFs are a class of crystalline materials that have a well-defined and atomically precise structures, exceptional porosities and the tunability of : 
  • particle size
  • pore size
  • surface area
  • density
  • topology
  • molecular affinity 
Beacuse of these exceptional properties MOFs are being investigated for a broad range of applications like: 
  • gas storage 
  • gas separation
  • heterogeneous catalysis
  • sensing
  • light harvesting
  • drug delivey 
Please check for all the details in the open-source publication below and real all the details:

Scalable synthesis and post-modification of a mesoporous metal-organic framework called NU-1000

Timothy C Wang,    Nicolaas A Vermeulen, In Soo Kim, Alex B F Martinson, J Fraser Stoddart, Joseph T Hupp & Omar K Farha  

Nature Protocols, 11, 149–162 (2016) doi:10.1038/nprot.2016.001

The synthesis of NU-1000, a highly robust mesoporous (containing pores >2 nm) metal-organic framework (MOF), can be conducted efficiently on a multigram scale from inexpensive starting materials. Tetrabromopyrene and (4-(ethoxycarbonyl)phenyl)boronic acid can easily be coupled to prepare the requisite organic strut with four metal-binding sites in the form of four carboxylic acids, while zirconyl chloride octahydrate is used as a precursor for the well-defined metal oxide clusters. NU-1000 has been reported as an excellent candidate for the separation of gases, and it is a versatile scaffold for heterogeneous catalysis. In particular, it is ideal for the catalytic deactivation of nerve agents, and it shows great promise as a new generic platform for a wide range of applications. Multiple post-synthetic modification protocols have been developed using NU-1000 as the parent material, making it a potentially useful scaffold for several catalytic applications. The procedure for the preparation of NU-1000 can be scaled up reliably, and it is suitable for the production of 50 g of the tetracarboxylic acid containing organic linker and 200 mg–2.5 g of NU-1000. The entire synthesis is performed without purification by column chromatography and can be completed within 10 d.






Structure of NU-1000 and developed post-synthetic modification methods on this platform. The blue, red and black spheres represent zirconium, oxygen and carbon, respectively. The perfluoro alkane SALIed into NU-1000 is represented in green, and the gold sphere shows the location of a metal cluster introduced into NU-1000 using AIM. [doi:10.1038/nprot.2016.001 Nature Publishing Group, Licence number 3772371203825]



Equipment setup for 250-mg-scale AIM modification for Al-AIM. (a,b) The metal screen constituting the power holder (a) and the reaction chamber of the ALD instrument (b). 
[doi:10.1038/nprot.2016.001 Nature Publishing Group, Licence number 3772371203825]