Friday, February 12, 2021

SIA Webinar: A Review of the 2020 Semiconductor Market and a Look to 2021

2020 proved to be one of the more unique years in recent times in terms of semiconductor market performance. Pre-2020 market forecasts were quickly and fundamentally rethought at the start of the year, as the COVID-19 pandemic upended the world. Significant uncertainly on how semiconductor sales would react to the pandemic existed for much of the year, especially during the first half. As monthly World Semiconductor Trade Statistics sales figures rolled in through the latter half of 2020, it became clear 2020 sales would end up better than most had initially feared.

Please join a panel of semiconductor market experts to help us make sense of the trends that shaped the semiconductor market in 2020 and look ahead to what the market has in store for 2021. Panelists include: Andrea Lati, Vice President, Market Research at VLSI Research; Dale Ford, Chief Analyst at the Electronic Components Industry Association (ECIA); and C.J. Muse, Senior Managing Director, Head of Global Semiconductor Research at Evercore ISI. The session will be moderated by Falan Yinug, Director of Industry Statistics and Economy Policy at SIA.
Presentations:

Andrea Lati, VLSI Research



Safer medical devices with Picosun’s antimicrobial ALD coatings

ESPOO, Finland, 12th February 2021 – Picosun Group, the leading provider of AGILE ALD® (Atomic Layer Deposition) thin film coating technology and solutions, reports excellent aseptic properties measured from its ALD materials.

“The aseptic properties of our ALD films are so excellent that they surpass even the strictest requirements of the medical implant industry."

Numerous ALD oxide coatings deposited with Picosun’s processes showed remarkable reduction of microbial growth and had low values of bacterial endotoxin contamination(*). The coatings were characterized by an independent third party laboratory according to ISO 22196:2011 antimicrobial standard and ANSI/AAMI ST72:2019 bacterial endotoxin standards. These results, along with the earlier tests validating the non-cytotoxicity of Picosun’s ALD films, prove the safety and aseptic benefits of these materials in medical devices, both implanted and external ones.



Millions of people worldwide live with medical implants and the trend is towards even more complex solutions that combine highly advanced microelectronics with miniaturized devices embedded into sensitive areas of the body such as brain, spine, eyes, and heart. Protecting these devices from the corrosive environment of the human body, and vice versa, is of utmost importance considering the safety, correct operation, and lifetime of the implant.

Picosun is the trailblazer in providing medical ALD solutions to device manufacturers. Picosun’s ultra-thin, biocompatible ALD coatings guarantee hermetic encapsulation of the implanted device, with a fraction of film thickness compared to other coating methods and with superior film uniformity and conformality, ensuring pinhole-free coverage over even the smallest details of the device. Extended lifetime and operational reliability of the implant reduces the need for corrective or replacement surgeries, thus saving expensive hospital stays and improving the patient’s quality of life. For manufacturers, hermetic protective coating enables use of more common base materials, e.g. stainless steels instead of precious metals, which in turn makes the manufacturing process easier and saves costs.

“The aseptic properties of our ALD films are so excellent that they surpass even the strictest requirements of the medical implant industry. We are excited to bring our new, advanced medical ALD solutions to the market and help our customers keep spearheading their industries with safer, longer-lasting and user-friendly products,” states Juhani Taskinen, Head of Medical Business Area of Picosun Group.

Thursday, February 11, 2021

Imec Demonstrates 20nm Pitch Line/Space Resist Imaging with High-NA EUV Interference Lithography

Imec, Belgium, reports for the first time the use of a 13.5 nm High Harmonic Generation source for the printing of 20nm pitch line/spaces using interference lithographic imaging of an Inpria metal-oxide resist under high-numerical-aperture (high-NA) conditions. 

The demonstrated high-NA capability of the EUV interference lithography using this EUV source presents an important milestone of the AttoLab, a research facility initiated by imec and KMLabs to accelerate the development of the high-NA patterning ecosystem on 300 mm wafers. The interference tool will be used to explore the fundamental dynamics of photoresist imaging and provide patterned 300 mm wafers for process development before the first 0.55 high-NA EXE5000 prototype from ASML becomes available.

Source: LINK




----------------------------
By Abhishekkumar Thakur

Tuesday, February 9, 2021

Capacitorless DRAM using oxide semiconductors could be built in 3D layers above a processor’s silicon

One of the biggest problems in computing today is the “memory wall”—the difference between processing time and the time it takes to shuttle data over to the processor from separate DRAM memory chips. The increasingly popularity of AI applications has only made that problem more pronounced, because the huge networks that find faces, understand speech, and recommend consumer goods rarely fit in a processor’s on-board memory.

In December at IEEE International Electron Device Meeting (IEDM), separate research groups in the United States and in Belgium think a new kind of DRAM might be the solution. The new DRAM, made from oxide semiconductors and built in the layers above the processor, holds bits hundreds or thousands of times longer than commercial DRAM and could provide huge area and energy savings when running large neural nets, they say.



The transistors in the capacitorless DRAM developed by U.S.-based researchers includes a tungsten-doped indium oxide [orange] semiconductor, palladium top and bottom gates [yellow], nickel source and drain electrodes [green] and hafnium oxide dielectrics [blue]. Image: University of Notre Dame

Saturday, February 6, 2021

Quantumcat, the ALD-coated sticker that fights against surface contamination and COVID19

Opening a door, pressing an elevator button, hanging on to a subway bar, entering your bank code, tapping on a touch screen ... everyday actions performed by millions of people in public places. Simple actions that can however become a source of concern in a pandemic situation.

Previously published in French: LINK, here in BALD Engineering summer style:



BALD Engineering and Team visiting the Encapsulix Laboratory and Dr. Kools in South France, summer time making prototype stickers for the BALD Engineering Mobile Office / MB Sprinter Camper Van.

To adapt to this situation, Quantumcat has invented a self-disinfecting transparent sticker that can be applied to surfaces that you want to protect. Cash dispenser keypad, digital code, handle, subway bar, these virucidal, bactericidal and fungicidal stickers are easily positioned on any surface for continuous disinfection.


Field test of the Quatumcat sticker

A self-disinfecting virucidal sticker 

It was during confinement that Jacques Kools developed this idea. A specialist in materials engineering, he imagines a sticker with a self-disinfecting surface. “It works on the same principle as self-cleaning windows. Quantum stickers produce hydrogen peroxide in contact with light and turn into antibacterial products. " The tests carried out at Gardanne finalize the prototype. While yet to be tested for COVID 19, the Quantumcat sticker has already demonstrated its disinfectant properties. Tests carried out by an independent laboratory in early September confirm the self-disinfecting action of the system. With unprecedented efficiency according to the specialists of this laboratory. Bactericidal products are generally approved from 99% fewer bacteria. “With Quantum stickers, we go from 1.7 million bacteria for an unprotected surface to 167 for a protected surface. »That is 99.99% less! 

Exceptional results due to the know-how of Jacques Kools’s team at the micro-atomic scale and years of optimization of thin-film materials. This innovation is the subject of a patent. In mid-October, a pilot sticker production line was built. Real-world tests have established the durability of the product.

Protection at the service of touch screens 

Next step for the Quantumcat solution, the application of stickers on the first generation of products for touch screens (cash dispensers, mobile phones, etc.): pilot projects are underway with public transport companies, banks, and hospitals. At the same time, the project to build the first factory is underway.

Wednesday, February 3, 2021

Call for Abstracts - The 5th AVS Area Selective Deposition Workshop (ASD 2021)

Developments in nanoelectronics and nanoscale surface modification have continued to drive the need for more elegant and reliable bottom-up area selective deposition (ASD) strategies. Most notably, the semiconductor industry has relentlessly pursued sub-10 nm transistor fabrication for next-generation devices, an endeavor that increasingly relies on selective deposition techniques to facilitate proper material alignment. However, other fields beyond traditional transistor fabrication have also found potential applications for selective deposition. Mixed-material catalysts have consistently shown the benefits of having site-specific material growth, but new optical devices and materials for energy storage have also contributed to an increased focus on developing new strategies for ASD.




In an effort to help facilitate the progression of ASD techniques, The University of Texas at Austin University is proud to host the 5th Area Selective Deposition Workshop (ASD 2021), which will be held on April 6-8, 2021. The Area Selective Deposition Workshop scheduled for April 2-3 (ASD 2020) was postponed in March 2020 due to public health concerns relating to the coronavirus disease (COVID-19) and was later cancelled in anticipation of ASD 2021. ASD 2021 retains much of the character of previous workshops and what was intended for ASD 2020, albeit in a virtual format. This year’s workshop will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges.

LIVE Stream - Advanced Process Technologies to Enable Future Devices and Scaling (invited), Rob Clark Tokyo Electron

SEMICON Korea SEMI Technology Symposium (STS) 2021 - The invited presentation titled "Advanced Process Technologies to Enable Future Devices and Scaling" can be streamed starting Feb. 3 in S. Korea (2/2 evening U.S.). 

This is an overview of new processing technologies required for continued scaling of leading-edge and emerging semiconductor devices. The main drivers and trends affecting future semiconductor device scaling are introduced to explain how these factors are influencing and driving process technology development. Topics explored in this presentation include atomic layer deposition (ALD), atomic layer etching (ALE), selective deposition and etching. In order to enable self-aligned and multiple patterning schemes as well as emerging devices for future manufacturing, atomic level process technologies need to be leveraged holistically. Real-world examples of current and future integration schemes, as well as emerging devices, will be presented and explained so that attendees can understand how advanced process technologies will be used in future device manufacturing as well as what benefits and tradeoffs may be encountered in their use.




Sunday, January 31, 2021

AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications

Atomic Layer Deposition (ALD) has become a key technology in both the lab and the fab with many devices and other applications benefiting from the (ultra)thin films that can be prepared with very precise thickness control and with unparalleled conformality and uniformity. Nowadays, a significant number of the ALD processes employed are so-called plasma-assisted or plasma-enhanced ALD processes. In the last decade, this method has faced a real breakthrough in high-volume manufacturing and an extensive set of processes and reactor designs have been demonstrated. Yet the reasons why and when to use plasma-assisted ALD are often not clear as well what kind of plasma configurations to use and which conditions to apply. Also, misconceptions about the implications of using plasmas during ALD exist, for example with respect to plasma damage and limitations of conformality. This webinar will address these aspects starting with the basics of ALD and plasma-based processing and will range up to the applications that can benefit from plasma-assisted ALD.

Wednesday, February 10, 2021 01.00 pm to 05:00 pm (Eastern Time USA/Canada -5:00 UTC)



Presenter: Erwin Kessels, Professor Dept. of Applied Physics Eindhoven University of Technology Netherlands

Webinar Objectives/Topics 
  • Provide the basic concepts of plasma-based processing and thin-film preparation by (plasma-assisted) ALD 
  • Gain knowledge on the role of reactive and energetic species such as radicals, ions, and photons on the process and resulting film properties, including film conformality on 3D surface topologies 
  • Present an overview of plasma ALD reactors and discuss important design and processes parameters 
  • Discuss several plasma-assisted ALD processes for key material systems 
  • Give insight into existing and potential future applications of plasma-assisted ALD 
  • Understand the pros and cons of plasma-assisted ALD with respect to thermal ALD

Friday, January 29, 2021

Live Web-Event „SIMULATION FOR ALD″ on March 25, 2021

  • Live Web-Event „SIMULATION FOR ALD″ on March 25, 2021
  • Live Presentations, Simulation Talk, After Work Discussion, Online Market Place

In this workshop the current state of research for modeling approaches on different length scales will be presented. Together we will discuss how to move forward to a multi-scale approach for ALD and related methods like atomic layer etching (ALE) and chemical vapor deposition (CVD).

Program Committee:
Linda Jäckel, Fraunhofer ENAS, Chemnitz, Germany,
Dr. Jonas Sundqvist, BALD Engineering AB, Dresden, Germany / TECHCET LLC CA / Scientific Board of EFDS e.V.,
Dr. Katrin Ferse, European Society of Thin Films (EFDS) e.V., Dresden, Germany.

This workshop provides the opportunity to get in contact with industrial and academic partners, to learn more about the fundamentals of ALD modeling, and to get informed about recent progress in the field.

Learn more and register at our website: https://lnkd.in/d9J483s
If you are interested to join the Online Market Place as an exhibitor, please contact us directly.



Thursday, January 28, 2021

Micron Delivers Industry’s First 1α DRAM Technology

Micron recently announced that they are shipping memory chips built using the world’s most advanced DRAM process technology, which offers major improvements in bit density, power and performance. This is an astonishing feat of nanofabrication. 

Micron announcement: Micron Delivers Industry’s First 1α DRAM Technology

Micron’s 1α DRAM node will facilitate more power-efficient, reliable memory solutions and provide faster LPDDR5 operating speeds for mobile platforms that require best-in-class LPDRAM performance. Micron’s innovation brings the industry’s lowest-power mobile DRAM, with a 15% improvement in power savings,1 allowing 5G mobile users to perform more tasks on their smartphones without sacrificing battery life.

To find out more watch Thy Tran, vice president of DRAM Process Integration at Micron previously with Qimonda explain how to realize this amazing technology.


According to more details given in a Blog by Thy Tran, Micron uses Quadruple Patterning or Quad Patterning to realize the most critical lithography layers, which employ multiple ALD process steps and has become one of the biggest ALD market segment over recent years. See the video below by Lam Research for some more insights!


Quad patterning process flow (Image: Lam Research)



Wednesday, January 27, 2021

Call for Abstracts ALD & ALE 2021 Tampa,FL, USA

 

 

 

Call for Abstracts

Due Next Wednesday:

February 3, 2021

 

 

 

The AVS 21st International Conference on Atomic Layer Deposition (ALD 2021) featuring the 8th International Atomic Layer Etching Workshop (ALE 2021) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2021 Workshop (ALE 2021), so that attendees can interact freely. The conference will take place Sunday, June 27-Wednesday, June 30, 2021, at the JW Marriott Tampa Water Street in Tampa, Florida. As in past conferences, the meeting will be preceded (Sunday, June 27) by one day of tutorials.

 

ALD Plenary

Todd Younkin

(Semiconductor Research Corporation, USA)

 

“Materials & Innovation – Essential Elements that Underpin the Next Industrial Revolution”

ALE Plenary

Steven George

(University of Colorado

Boulder, USA)

 

“Mechanisms of Thermal

Atomic Layer Etching”

 

Key Deadlines:

Abstract Submission Deadline: February 3, 2021

Author Acceptance Notifications: March 16, 2021

Early Registration Deadline: May 14, 2021

Hotel Reservation Deadline: June 4, 2021

Manuscript Deadline: November 1, 2021

 

COVID-19 Alert: AVS recognizes the global COVID-19 pandemic continues to impact face-to-face meetings. We anticipate seeing you in Florida and we will continue to comply with COVID-19 guidelines (local, state, and federal). As a result, all meeting plans are subject to change to stay in compliance with these COVID-19 guidelines. Hybrid options will be considered as needed. Should an in-person meeting not be feasible, a virtual component will be planned. Additional details will be made available as the event draws closer.

 

 

ALD Program Chairs

 

Program Chair:

Sean Barry (Carleton University, Canada)

Program Co-Chair:

Scott Clendenning (Intel, USA)

ALE Program Chairs

 

Program Chair:

Jane Chang (University of California, Los Angeles, USA)

 

Program Co-Chair:

Thorsten Lill (Lam Research, USA)

 

Thursday, January 21, 2021

Master Thesis in Nanotechnology with Alixlabs in Sweden on Atomic Level Fragmentation

Come and join us in Lund Sweden for an exciting Master Thesis in Atomic Level Fragmentation - the new option for extending optical lithography cheaper, greener, and faster than any advanced multi-patterning scheme!

Operating within Lind Nano Lab we guarantee a safe & flexible workplace under ISO 5 and ISO 7 Cleanroom conditions, 24/7 operation, and remote working from wherever you need to be when outside the cleanroom.


Lund Nano Lab : LINK

Thesis description and application : LINK


View across The Science City of Lund in South Sweden direction Copenhagen in Denmark across the straight of Öresend connected by the longest bridge in Europe.


Tuesday, January 19, 2021

Webinar - Enhancing Yield by Minimizing Contamination with Beneq ALD coating solutions

Yet another Webinar with Beneq, this time about ALD coating solution for your equipment.

Regsitration: LINK



Semiconductor manufacturing involves corrosive gases in multiple processes. As we transition to each new technology node, e.g. from 10nm to 7nm and to 5nm, there are even more stringent fab requirements against metal and particle contamination. This poses challenges for existing coating methods such as anodization or plasma spray, which may not provide complete protection especially on critical chamber components with complex geometry. 

This webinar is particularly helpful for process engineers, equipment engineers and others, who are responsible for contamination control and equipment yield. 

Learn more about :
  • Common issues of metal or particle contamination on critical chamber components 
  • Common coating methods against corrosion, and how they compare 
  • What properties to look for e.g. purity, uniformity, when evaluating the optimal protective coating solution 
  • Unique benefits of ALD coatings with Al2O3 and Y2O3 
  • Working with your OEM partner to design, test and implement an ALD coating solution for your equipment

Atomic Layer Deposition of 2D Metal Dichalcogenides for Electronics, Catalysis, Energy Storage, and Beyond

Here is an interesting Review from the leading ALD Laboratory at Helsinki University in Finland on 2D dichalcogenides. We are all looking forward to get to know the ALD:ed Dichalcogenides better in the future in exciting new  devices and daily life. (Thanks for sharing - Dr. King)

Atomic Layer Deposition of 2D Metal Dichalcogenides for Electronics, Catalysis, Energy Storage, and Beyond
Miika Mattinen, Markku Leskelä, Mikko Ritala
First published: 18 January 2021 in Advanced Materials Interfaces


Figure from Google cache (originally in https://doi.org/10.1002/admi.202001677)

Abstract: 2D transition metal dichalcogenides (TMDCs) are among the most exciting materials of today. Their layered crystal structures result in unique and useful electronic, optical, catalytic, and quantum properties. To realize the technological potential of TMDCs, methods depositing uniform films of controlled thickness at low temperatures in a highly controllable, scalable, and repeatable manner are needed. Atomic layer deposition (ALD) is a chemical gas‐phase thin film deposition method capable of meeting these challenges. In this review, the applications evaluated for ALD TMDCs are systematically examined, including electronics and optoelectonics, electrocatalysis and photocatalysis, energy storage, lubrication, plasmonics, solar cells, and photonics. This review focuses on understanding the interplay between ALD precursors and deposition conditions, the resulting film characteristics such as thickness, crystallinity, and morphology, and ultimately device performance. Through rational choice of precursors and conditions, ALD is observed to exhibit potential to meet the varying requirements of widely different applications. Beyond the current state of ALD TMDCs, the future prospects, opportunities, and challenges in different applications are discussed. The authors hope that the review aids in bringing together experts in the fields of ALD, TMDCs, and various applications to eventually realize industrial applications of ALD TMDCs

Monday, January 18, 2021

RASIRC Granted Taiwan Patent for Method and Systems for Purifying Hydrogen Peroxide Solutions

Innovative new products that purify and deliver ultra-pure oxidation chemistries

SAN DIEGO, January 6, 2021 (Newswire.com) - RASIRC announced that the Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I703087 for Method and Systems for Purifying Hydrogen Peroxide Solutions. The patent is applicable to all hydrogen peroxide products including BRUTE® Peroxide and The Peroxidizer®. This invention applies the purification of the liquid source before evaporation into ultra-pure gases. Additionally, the purification improves the performance and lifetime of the patented membrane vaporizer.



“Hydrogen peroxide vapor is becoming a critical material for low-temperature processing of 3-dimensional and HAR devices as ozone and plasma methods hit their limits,” said RASIRC Chief Technology Officer Dan Alvarez. “This invention aids in our efforts to continuously improve the purity and consistency of our delivered product.”

This news comes as part of the harvest of research ideas developed over the last 15 years at RASIRC. Now moving into commercialization, this string of patents also includes our Taiwanese patent I683923, granted in April 2020, for the generation and delivery of Hydrogen Peroxide and Hydrazine gases. “These patents add to our global intellectual property footprint and are needed to support worldwide leadership in the delivery of chemistry for low-temperature oxide and nitrides,” said RASIRC Founder and CEO Jeffrey Spiegelman.

Related RASIRC Products ​

RASIRC Peroxidizer® 

The RASIRC Peroxidizer provides a safe, reliable way to deliver high-concentration hydrogen peroxide gas into ALD, annealing, dry surface preparation, and cleaning processes.

BRUTE Peroxide

Brute Peroxide is a controlled and safer chemical method to deliver high concentration hydrogen peroxide (H2O2) gas into Atomic Layer Deposition (ALD) and Etch (ALE) processes

About RASIRC

RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as Brute Peroxide, Brute Hydrazine, the Peroxidizer, and the Rainmaker Humidification Systems are being used to develop solutions for 5G, AI, IoT, and advanced automation. What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of beating larger competitors to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets.

Contact RASIRC to help solve your complex problems. P: 858-259-1220, email: info@rasirc.om or visit http://www.rasirc.com

Tuesday, January 12, 2021

Semiconductor Materials $50B Market on a Strong Run in 2021

Semiconductor Materials $50B Market on a Strong Run in 2021Pandemic pushing people to Work From Home and School From Home

San Diego, CA, January 12, 2021: TECHCET announced that global revenues for semiconductor manufacturing and packaging materials grew approximately 4% year-over-year (YoY) in 2020 to ~US$50B, and are expected to grow ~7% in 2021 to nearly US$54B. The COVID-19 pandemic has created strong demand for both logic and memory ICs to support Work From Home (WFH) and School From Home (SFH), and such demand is expected to increase despite expanding availability of virus vaccines. Also, demand for automotive ICs has recovered and will be an important driver in 2021 growth. The compound annual growth rate (CAGR) through 2024 is forecast at 5.3% as per the latest TECHCET Critical Materials Reports (CMR) and shown in the attached figure.

Analysts' Alerts emailed to CMR purchasers help them anticipate and mitigate potential supply-chain disruptions. Excerpts have been publicly disclosed on the following critical materials (https://lnkd.in/dzAZcCw):

* IPA in "CMC Considers Bio-Solvents to Reduce Fab Risks",
* HF in "Semi Wet Chemicals US$2B Market Threatened by Localization", and
* Lanthanum in "Rare Earth Elements (REE) Supply Uncertain for IC Fabs".



Picosun ALD reactors installed in Africa's first ALD-Lab at University of Johannesburg RSA

BALD Engineering has earlier reported on the first ALD-Lab in South Africa (LINK). The University of Johannesburg started a laboratory construction implementing the strategy to establish national nanotechnology in South Africa and ordering ALD Equipment from Picosun in Finland. The ALD cleanroom facility is providing an ultra-low number of particle (ISO-7) and nearly vibration- free environment for the two state-of-the-art ALD reactors (Picosun R-200 and R-200 advance), which allow the engineering squad to study numerous states and properties of various unique new nanomaterials at the atomic scale. It will be a unique building in Africa and seeks to lead the world in state-of-the-art research on nanotechnology.

According to reports (LINK) the Picosun reactors have now been delivered and installed in Johannesburg.

Rigardt Coetzee, Researcher and Coordinator at University of Johannesburg in LinkedIn: "Africa's first Atomic Layer Deposition Laboratory. The first 5nm thin film grown at atomic level. Honoured to have been the first to produce this thin film in RSA. I know these reactors will serve South Africa Well in the Industry 4.0 revolution."


Photos from the installation of the new Picosun ALD reactors in the clean rool at University of Johannesburg. Photos from LinkedIn post by Rigardt Coetzee (LINK)

Thursday, January 7, 2021

How ALD can be used to stack 2D materials on one another at a nanometer scale

TU Eindhoven latest publication to see how the toolbox of ALD can be used to stack various layered 2D materials on one another at a nanometer scale.





Surface ligand removal in atomic layer deposition of GaN using triethylgallium

Here is a paper with really impressive results on Low Temperature GaN ALD using ABC-type pulsed sequence from Henrik Pedersen group Linköping University - They insert a step between triethylgallium and ammonia to improve the deposition.

To study how to enhance the ethyl ligand removal from the surface, an additional pulse was added between the TEG and NH3/Ar plasma. This made the ALD process into an ABC-type pulsed ALD process with TEG as A-pulse, the additional gas as the B-pulse and the NH3/Ar plasma as C-pulse.

Depositions were carried out in a Picosun R-200 atomic layer deposition tool without a load lock chamber and a operating pressure of 6 hPa.

Surface ligand removal in atomic layer deposition of GaN using triethylgallium
Journal of Vacuum Science & Technology A 39, 012411 (2021); https://doi.org/10.1116/6.0000752
Petro Deminskyi, Chih-Wei Hsu, Babak Bakhit, Polla Rouf, and Henrik Pedersen

Gallium nitride (GaN) is one of the most important semiconductor materials in modern electronics. While GaN films are routinely deposited by chemical vapor deposition at around 1000 °C, low-temperature routes for GaN deposition need to be better understood. Herein, we present an atomic layer deposition (ALD) process for GaN-based on triethyl gallium (TEG) and ammonia plasma and show that the process can be improved by adding a reactive pulse, a “B-pulse” between the TEG and ammonia plasma, making it an ABC-type pulsed process. We show that the material quality of the deposited GaN is not affected by the B-pulse, but that the film growth per ALD cycle increases when a B-pulse is added. We suggest that this can be explained by the removal of ethyl ligands from the surface by the B-pulse, enabling a more efficient nitridation by the ammonia plasma. We show that the B-pulsing can be used to enable GaN deposition with a thermal ammonia pulse, albeit of x-ray amorphous films.

Prof. Henrik Pedersen in the lab.



Growth per cycle (GPC) for film deposition at different temperatures (a) and with different TEG pulse time (b).