Friday, June 29, 2018

Tutorial Plasma assisted atomic level processing – PEALD & ALE at PSE2018

Plasma assisted atomic level processing – PEALD & ALE , Sunday, September 16, 2018

The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, the Netherlands, in cooperation with Jonas Sundqvist, Fraunhofer IKTS, Dresden, Germany.

9:00 - 9:30

Introduction
Adriana Creatore, Eindhoven University of Technology, the Netherlands
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
9:30 - 11:00

“Overview of thin film deposition and nanofabrication by atomic layer deposition”
Adrie Mackus, Department of Applied Physics, Eindhoven University of Technology, the Netherlands
11:00 - 11:30 Break
11:30 - 13:00

“Plasma atomic layer deposition: basics, mechanisms and applications”
Harm Knoops, Oxford Instruments Plasma Technology, United Kingdom and Department of Applied Physics, Eindhoven University of Technology, the Netherlands
13:00 - 14:00 Lunch
14:00 - 15:30

“Principles, basics and practical examples of Plasma Atomic Layer Etching”
Sabbir Khan, Department of Physics, Lund University, Sweden
15:30 - 16:00 Break
16:00 - 17:30

“Plasma-ALD and ALE processes in high volume manufacturing and emerging applications”
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
17:30 End of the tutorial

Thursday, June 28, 2018

Picosun storms over the Asian ALD production market in several key application areas

ESPOO, Finland, 28th June, 2018 – Picosun Group, a leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, breaks through into industrial production in Asia in several new, key application areas.

The applications relate to display manufacturing and telecommunications, and a novel approach to use ALD to improve chip quality diagnostics. 
 
 
The rapidly increasing popularity of smartwatches, wearable activity and health monitors, smartphones, and other small, portable electronic devices equipped with a screen puts a new kind of pressure to display manufacturers. A next generation of solutions needs to be developed, where the image quality, brightness, contrast, and resolution are not compromised even if the screen size gets miniaturized. Advanced LED, micro-LED, OLED, and TFT LCD (thin film transistor liquid crystal display) technologies answer this need but also create more challenges. One is passivation and encapsulation of the devices against ambient conditions, air and moisture. This is where ALD shows its strength. The superior conformality(*) and pinhole-free nature of the ALD films, and the possibility to perform the film depositions at moderate temperatures make ALD the ideal technology for advanced display manufacturers.

The same qualities, conformality and uniformity of the coatings over the smallest nanoscale features, trenches and voids, advocate ALD’s use in protection and passivation of semiconductor laser diodes which are widespread in modern telecommunications and data transmission based on fiber optics.

Picosun has also successfully commercialized an ALD-based method with which resolution and imaging properties of TEM (transmission electron microscopy) can be greatly improved. The main application for this method is chip failure analysis in high-volume manufacturing of various semiconductor components.

”We are happy to take our ALD technology to yet new application areas where it creates new, disruptive solutions to our customers’ key challenges. In China, we have been the market leader in research ALD tools for a long time already, and now we are solidifying our position in the industrial market as well. China, Taiwan, and Singapore are key global hubs when it comes to semiconductor manufacturing. There is our largest industrial customer base and expansion potential,” says Mr. Edwin Wu, CEO of Picosun Asia Pte. Ltd.

(*) In PICOSUN™ ALD systems, samples with ultra-high aspect ratios of over 1:2500 have been successfully coated.

Wednesday, June 27, 2018

Delft University of Technology orders Veeco PEALD system

PLAINVIEW, New York, June 26, 2018 – Veeco Instruments Inc. (Nasdaq: VECO) today announced that the Materials for Energy Conversion and Storage Group (MECS) at Delft University of Technology has ordered its Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. Based in The Netherlands, MECS selected the Veeco tool for its superior performance for research on state-of-the-art materials for renewables, storage and advanced energy solutions. 

“Our colleagues with the Kavli Nanolab at Delft have reported great success working with the Fiji F200 for their nanotechnology R&D, and we are confident the system’s capabilities will also serve us well as we pursue new materials for sustainable energy applications,” said Fokko Mulder, professor of applied sciences and integrated energy systems at Delft University of Technology. “In particular, we were drawn to the Fiji’s world-class reputation, flexible PE-ALD system architecture, and excellent service and support backed by the technical expertise of Veeco’s ALD scientists. After evaluating different options, the Fiji F200 proved the best platform to meet our advanced experimentation needs.”

The Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of thermal and plasma-enhanced deposition modes using multiple configurations of precursors and gases. Veeco continues to be in the forefront of ALD R&D for energy storage with premier research published in the field of solid-state lithium- and sodium-ion batteries, including stoichiometric multicomponent lithium oxides for higher capacity 3D electrodes, safer solid-state electrolytes, and ultrathin phosphate/aluminate encapsulation layers for improved battery lifetime. With over 500 systems installed worldwide, Veeco’s ALD platforms are used in a wide variety of research and industrial environments, including 3D nanofabrication, electronics, batteries, solar cells, energy and compound semiconductors, as well as exciting new applications to solve some of the world’s most pressing technology and resource challenges.

“The MECS group is one of the top research departments in the world working to meet the growing demand for renewable sources and energy storage solutions,” said Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We look forward to helping Professor Mulder and his team at Delft to maximize the benefits of ALD for this important research.”

According to the U.S. Energy Information Administration, global energy consumption will increase by 28 percent between 2015 and 2040, and renewables are the fastest-growing energy source with adoption expected to increase by an average of 2.3 percent each year through 2040. The intermittent nature of renewables is also driving the importance of advanced energy storage research and solutions. The global market for energy storage of renewables is predicted by Navigant Research to grow exponentially from its current nascent stage to reach $23 billion by 2026.

Monday, June 25, 2018

Atomic Layer Deposition of platinum thin films - current and future applications



Strem Chemicals is a well-established (since 1964) supplier of ALD and CVD precursors for both R&D and industrial applications. Many of their compounds are also available in electronic grade suitable for semiconductor applications. The full range of their ALD and CVD precursors can be found in their famous catalog available as a hard copy or on line [LINK]. Amongst the wide range of precursors, the platinum precursors and especially the (trimethyl)methyl-cyclopentadienylplatinum(IV) - MeCpPtMe3 has proven popular for a wide range of ALD and CVD applications.

Platinum and platinum-rich alloys are naturally occurring and have been known for a long time since it is often found as native platinum. It occurs naturally in the sands of rivers in South America and it was first used by pre-Columbian natives to produce artifacts. Later in 16th century the Spaniards named the metal "platina," or little silver, when they first encountered it in Colombia.  They regarded platinum as an unwanted impurity in the silver they were mining and it was not until 1748 that platinum was properly reported by Antonio de Ulloa y de la Torre-Giral, a Spanish general of the navy, explorer, scientist, author, astronomer and colonial administrator.

Since the platinum has become known and used because of the outstanding catalytic properties, which it has in common with the other of the six platinum group metals (PGM) – iridium, osmium, palladium, platinum, rhodium, and ruthenium.  In addition, platinum's wear and tarnish resistance characteristics are well suited for making fine jewelry.  Other distinctive properties include:

  • high resistance to chemical attack
  • excellent high-temperature characteristics
  • stable electrical properties.

Because of all these extraordinary properties the PGMs have been exploited for a wide range of industrial applications.   Platinum, platinum alloys, and iridium are used as crucible materials for the growth of single crystals, especially oxides.  The chemical industry uses a significant amount of either platinum or a platinum-rhodium alloy catalyst to catalyze the partial oxidation of ammonia to yield nitric oxide, which is the raw material for fertilizers, explosives, and nitric acid.   

In recent years, a number of PGMs have become important as catalysts in synthetic organic chemistry.  Platinum supported catalysts are used in the refining of crude oil, reforming, and other processes used in the production of high-octane gasoline and aromatic compounds for the petrochemical industry.  Since 1979, the automotive industry has emerged as the number one consumer of PGMs.  Palladium, platinum, and rhodium have been used as oxidation catalyst in catalytic converters to treat automobile exhaust emissions.  A wide range of PGM alloy compositions are used in low-voltage and low-energy contacts, thick- and thin-film circuits, thermocouples and furnace components, and electrodes.

It was not until the early 2000 that the platinum and the other PGMs became available as a ALD processes and here below is a summary of the most important fundamental discoveries of platinum ALD.

Thermal ALD of high quality platinum films

It all started with thermal ALD of platinum and ruthenium in Helsinki Finland at the famous Laboratory for Inorganic Chemistry headed by Prof. Markku Leskelä and Prof. Mikko Ritala. Here it was found that high quality platinum films can be grown by thermal ALD from MeCpPtMe3. According to the first publications by Titta Aaltonen (summarized in her PhD Thesis University of Helsinki: LINK) the films had strong (111) orientation even down to the lowest growth temperatures. Except for discovering the secrets of thermal ALD of noble metals (Ru, Ir Pt, Pd) Titta Aaltonen made groundbreaking studies of their ALD  growth mechanism with O2 as the co-reactant. At first it may seem strange that O2, or in her case also laboratory air or pressured air, could be used to grow high quality noble metal films. Titta Aaltonen found that adsorbed oxygen atoms react with the ligands of the noble metal precursor during the metal precursor pulse. Unreacted ligand species that remained on the surface after the metal precursor pulse react with oxygen during the following oxygen pulse. The main reaction by-products detected during the both reaction steps were water and carbon dioxide. For detailed studies of the ruthenium process using RuCp2 it has been concluded that active oxygen that dissolves in the upper most monolayers of the growing noble metal film may be behind the nucleation and growth mechanism of the next “ALD monolayer”.

The growth rates of the platinum films grown at 300 °C from MeCpPtMe3 was reported at about 0.5 Å/cycle both when air and pure oxygen were used as oxygen sources and a 50-nm film grown at 300 °C had a resistivity of 13 μΩcm, which is close to bulk value for platinum. It was also found that the difference between air and O2 co-reactant was in how the films adhered to the substrate. The films grown with air as the oxygen source did not pass the famous scotch tape test, while the films grown with pure oxygen passed the tape test.

Besides having such a beautiful ALD mechanism with such a simple co-reactant as air or O2, one additional very big advantage with the MeCpPtMe3 precursor is that can be vaporized at room temperature, just slightly below its melting point of 30 °C since the vapor pressure of MeCpPtMe3 at room temperature is high enough for delivery into an ALD process chamber. If you need a bit more precursor flow for larger batch type reactors or applications with relying on high surface area you can melt the precursor in a standard stainless steel ampule or bubbler with carrier gas dip tube to enhance the flow further. 




A hook up of  MeCpPtMe3 precursor  supplied in a Strem Swagelock ALD/CVD cylinder via a standard Swagelock ALD-valve as close as possible to a thermal horizontal low pressure ALD/CVD reactor (at Fraunhofer IKTS, Dresden, Germany, LINK) to save valuable platinum precursor (LINK) In order to enhance the precursor flow the installation can be wrapped with heater tape and heated to 30-50 °C.

Plasma ALD of platinum films

Some years later, Harm Knoops (now TU Eindhoven/Oxford Instruments) and co-workers published extensive results in a benchmarking study in 2009 [LINK] using MeCpPtMe3 precursor in a plasma ALD reactor with a remote ICP O2 Plasma. Here they proved that by the plasma enhanced ALD process (PEALD), the growth temperature could be reduced considerably to as low as 100 °C for both platinum metal and platinum oxide film growth and it was possible to switch between the two growth modes by adding a H2 step to grow metallic films. More recently, the same group reported platinum ALD at room temperature on polymer, textile, and paper substrates [LINK]. By tuning the dosing of MeCpPtMe3, O2 plasma exposure, and H2 gas or H2 plasma exposure high-quality platinum films with a resistivity of 18–24 μΩ cm were obtained.

Growth of platinum nanoparticles by ALD

Most recently Prof. Ruud van Ommen (TU Delft) published their detailed study [LINK] on how to control and grow platinum nanoparticles by ALD, again using the MeCpPtMe3 precursor.
They showed that the nanoparticle aggregation takes place during the oxygen half-reaction and that the mobility of the nanoparticles exhibits a size- and temperature-dependent scaling and that ALD-like precision over the nanoparticle size requires low deposition temperatures (< 100 °C).

Industrial applications for platinum ALD

Since early 2000 platinum ALD has been considered in parallel to ruthenium and evaluated multiple times by academia and industry for the use in a number of microelectronic applications including:

  • Electrodes for DRAM high-k capacitors
  • Transistor Source/Drain contacts with nickel Ni(Pt)Si
  • DRAM buried Word Lines and Bit Lines
  • Local interconnects as Cu seed layer or complete fill replacing tungsten

The semiconductor industry is very sensitive for raw material pricing and therefore introduction of platinum so far has mainly been using PVD in the case of Ni(Pt)Si source drain contact and for the other applications mentioned above there has been no reports of high volume manufacturing. Meanwhile, ruthenium on the other hand had have some success for hard disk reader heads and is now considered for local interconnects for technologies at 5 nm or below.

One of the biggest industrial applications for the MeCpPtMe3 precursor today is for E-beam direct write repair of photo lithographic masks for both Immersion and EUV lithography and making direct chip level contacts for electrical characterization in FIB-SEM.  

Current research and development on using platinum ALD or CVD as deposition method focuses on:
  • Nanobatteries using platinum contacts and electrodes
  • Supercapacitors using platinum electrodes
  • Nanoparticle catalysis
  • Core shell nanoparticles (nanoparticles covered by an ultra-thin platinum layer)
  • As contacts to III/V nanowire and 2D materials devices
  • Electrodes and contacts in printed flexible electronics
  • 3D Nanoprinting via laser-assisted electron beam induced deposition
The main issue to overcome for any successful industrial scale up of platinum is to minimize the use of bulk platinum and use ultra-thin layers and if bulk material is need use either substrates with a very large surface or coated low cost particles. Eventually, for all applications, platinum being a noble metal all of the by-products of precursor or coated parts has to be recaptured and recycled. 

In the case of automotive catalyst support such PGM recycling plants are operational since long time (e.g. operated by BASF and Umicore). For the ruthenium introduction in the semiconductor device manufacturing, several companies have reported development of recapture and recycling methods (e.g. Praxair, Tokyo Electron and Tanaka) and we can assume that these can also be adapted for platinum precursor recapture and recycling. Finally, to put things in perspective, the USGS reported that about 110,000 kilograms of platinum, palladium, and rhodium was recovered globally from new and old scrap in 2017 and they estimate the world resources of PGMs to a total more than 100 million kilograms. The largest reserves are in the Bushveld Complex in South Africa.

References

ALD of platinum from MeCpPtMe3 and Air and the ALD nobel metal / oxygen reaction mechanism: T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä, Reaction Mechanism Studies on Atomic Layer Deposition of Ruthenium and Platinum, Electrochem. Solid-State Lett., 6 (2003) C130–C133. [LINK]
ALD of platinum from MeCpPtMe3 and O2 : T. Aaltonen, M. Ritala, Y.-L. Tung, Y. Chi, K. Arstila, K. Meinander, and M. Leskelä, Atomic Layer Deposition of Noble Metals: Exploration of the Low Limit of the Deposition Temperature, J. Mater. Res., 19 (2004) 3353–3358. [LINK]
PEALD and thermal ALD of platinum films from MeCpPtMe3 :  H. C. M. Knoopsa, A. J. M. Mackus, M. E. Dondersa, M. C. M. van de Sanden, P. H. L. Notten, and W. M. M. Kessels.
PEALD of platinum at room temperature : A. J. M. Mackus, D. Garcia-Alonso, H. C. M. Knoops, A. A. Bol, and W. M. M. Kessels, Room-Temperature Atomic Layer Deposition of Platinum, Chem. Mater., 2013, 25 (9), pp 1769–1774 [LINK]
Platinum nanoparticle ALD growth : F. Grillo, H. Van Bui, J. A. Moulijn, M. T. Kreutzer, and J. R. van Ommen, Understanding and Controlling the Aggregative Growth of Platinum Nanoparticles in Atomic Layer Deposition: An Avenue to Size Selection, J. Phys. Chem. Lett., 2017, 8 (5), pp 975–983 [LINK]
Facts about PGMs : Platinum-Group Metals Statistics and Information (Platinum, Palladium, Rhodium, Ruthenium, Osmium, and Iridium), U.S. Department of the Interior, U.S. Geological Survey [LINK]
MeCpPtMe3 product information and ordering from Strem Chemicals (Item #: 78-1350):

 
Product Description: (Trimethyl)methylcyclopentadienylplatinum(IV), 99%
CAS #: 94442-22-5
Safety Data Sheet: [LINK]


Wednesday, June 20, 2018

Plasma ALD and ALE Tutorial at PSE 2018, 16th of September in Garmisch-Partenkirchen

Plasma ALD and ALE Tutorial will be given at the 16th International Conference on Plasma Surface Engineering, September 17 - 21, 2018, in Garmisch-Partenkirchen, Germany.

 
Sunday, September 16, 2018
The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also  insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, the Netherlands, in cooperation with Jonas Sundqvist, Fraunhofer IKTS, Dresden, Germany.

Program [PDF]
9:00 - 9:30

Introduction
Adriana Creatore, Eindhoven University of Technology, the Netherlands
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
9:30 - 11:00

“Overview of thin film deposition and nanofabrication by atomic layer deposition”
Adrie Mackus, Department of Applied Physics, Eindhoven University of Technology, the Netherlands
11:00 - 11:30 Break
11:30 - 13:00

“Plasma atomic layer deposition: basics, mechanisms and applications”
Harm Knoops, Oxford Instruments Plasma Technology, United Kingdom and Department of Applied Physics, Eindhoven University of Technology, the Netherlands
13:00 - 14:00 Lunch
14:00 - 15:30

“Principles, basics and practical examples of Plasma Atomic Layer Etching”
Sabbir Khan, Department of Physics, Lund University, Sweden
15:30 - 16:00 Break
16:00 - 17:30

“Plasma-ALD and ALE processes in high volume manufacturing and emerging applications”
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
17:30 End of the tutorial



Sunday, June 17, 2018

HERALD SUMMIT 2018 25-28 September Barga Portugal - Open for registrations

Registration to HERALD SUMMIT 2018 is open. The HERALD Summit will be the premier European conference in 2018 devoted solely to atomic level processing, covering atomic layer deposition (ALD), atomic layer etch and related nano fabrication techniques.  As the final meeting of the HERALD COST Action, the three-day Summit will include detailed discussions on the research achievements of HERALD and on future opportunities for collaboration, both within Europe and worldwide.  Ongoing projects and new funding proposals will be promoted so as to continue to build the ALD community.  The HERALD Summit will take place in Braga, Portugal from 25-28 September 2018.
Those receiving a travel grant from HERALD for this conference may claim reimbursement of the meals fee, but not of the registration fee (early bird registration fee € 120).
There is a list of hotels with special prices for the event. Information at https://www.european-ald.net/events/herald-summit-2018.

Venue - International Iberian Nanotechnology Laboratory (INL) 

http://inl.int/
The International Iberian Nanotechnology Laboratory (INL) is the result of a joint decision of the Governments of Portugal and Spain, whereby the two Governments made clear their commitment to a strong cooperation in ambitious science and technology joint ventures for the future. INL has 47 000 m2 campus area, about 26 000 m2, providing 22 000 m2 of laboratory space and state-of-art equipment for various research areas. A guided visit to the INL is included in the Program.

Friday, June 15, 2018

Cobalt and Ruthnium confirmed in Intel 10nm Cannon Lake BEOL

TechInsights has found the long-awaited Cannon Lake - the Intel 10 nm logic process inside the i3-8121U CPU, used in the Lenovo IdeaPad330.
 
This innovation boasts the following:

  • Logic transistor density of 100.8 mega transistors per mm2, increasing 10nm density 2.7X over the 14nm node
  • Utilizes third generation FinFET technology
  • Minimum gate pitch of Intel’s 10 nm process shrinks from 70 nm to 54 nm
  • Minimum metal pitch shrinks from 52 nm to 36 nm
Process Highlights:

  • Deepest scaled pitches of current 10 nm and upcoming 7 nm technologies
  • First Co metallization and Ru usage in BEOL
  • New self-aligned patterning schemes at contact and BEOL
Source: TechInsight (LINK)

By reading this it is not possible to determine exactly how Ruthenium is used or how it has been deposited and there are several options like barrier and seed layer for plating Copper or Cobalt. What is known is that Intel presented already at IEDM2017 the use of cobalt in their 10 nm MOL/BEOL process flow as contacts and M0/M1 lines as well as barrier/seed for copper and copper cap for complete encapsulation of copper up to M5.


Intel 10nm mid end of line cobalt and copper metallization as presented at IEDM 2017.

Wednesday, June 13, 2018

Advanced Materials Special Issue dedicated to current research activities on Materials Science in Finland

This Special Issue is dedicated to current research activities on Materials Science in Finland (LINK), providing a collection of outstanding contributions from diverse research groups on the recent progress regarding silicon and silica nanomaterials, DNA nanotechnology, micro/nano‐motors, biomass‐based nanostructures, nanocellulose, 2D layered materials, atomic layer deposition, superhydrophobic surfaces, and microrobots, from the University of Helsinki, Aalto University, VTT, the University of Turku, Åbo Akademi University, Tampere University of Technology, and the University of Eastern Finland


 Including off course an ALD contribution from Helsinki University!

Atomic Layer Deposition of Rhenium Disulfide


Jani Hämäläinen, Miika Mattinen, Kenichiro Mizohata, Kristoffer Meinander, Marko Vehkamäki, Jyrki Räisänen, Mikko Ritala, Markku Leskelä

First Published: 05 January 2018
 Growth of rhenium disulfide by atomic layer deposition is studied. ReS2 is a 2D material that is not limited to the monolayer thickness because of effective decoupling of the monolayers in bulk. The ReS2 films are deposited from ReCl5 and H2S at up to 500 °C, also on a 3D structure, and the films are characterized.

Tuesday, June 12, 2018

Australian researcher Martin Green awarded Global Energy Prize for PERC solar cells

[PV Magazine] UNSW’s Martin Green pioneering work in developing crystalline silicon solar has now gained global recognition in the energy sector – after being awarded Russia’s Global Energy Prize.

Green, the Director of the UNSW’s Australian Centre for Advanced Photovoltaics was awarded the Russian Global Energy Prize last week. He was selected by a committee of peers ahead of 44 contenders from 14 countries.
 
 
Green has long been credited as being the creator of the Passivated Emitter Rear Contact (PERC) solar cell, which continues to be adopted as a mainstream technology by manufacturers in 2018. Additionally, he has also spearheaded work into perovskites, selective emitter technology, and is now leading research into whole new areas of semiconductor material, for silicon-tandem cells that could potentially push efficiencies up to 30% and beyond.

Martin Green and fellow UNSW scientists were prominent at the recent SNEC trade show and conference in China, both progressing their work with industry partners on the advanced hydrogenation process, but also in collaborations ranging across PV cell production including Atomic Layer Deposition processes for PERC cell production.

Report from the 3rd Area Selective Deposition Workshop (ASD 2018) at North Carolina State University

In late April (April 29 – May 1, 2018) the 3rd Area Selective Deposition Workshop (ASD 2018), was held at North Carolina State University in Raleigh North Carolina USA (LINK). This years workshop was organized with full support from AVS and as for ALD and ALE Della Miller was in charge.

The Workshop brought together leading international scientists and engineers from academia and industry from all regions to share results and insights into: 1) fundamental principles and barriers to area selective deposition; 2) technological needs and challenges of ASD; 3) new chemical approaches and processes to address the expanding needs; and 4) surface characterization techniques and metrology innovation for ASD.

This third year the program was expanded to two days, including 11 invited presentations, an invited panel discussion, 18 contributed talks, and 15 posters and in between there was plenty of time for interaction over meals and social events.

ASD2018 brought together leading experts from 10 countries in Asia, Europe and America, to deliver and discuss more than 45 presentations. As the chart shows, this constitutes significant growth since the first ASD Workshop in 2016 (ASD2018 Book of Abstracts).

As a particular focus this year, the committee had chosen to highlight the challenge of selective deposition metrology, including an invited panel to discuss particular issues and techniques related to selectivity measurement and selective defect quantification.

It is clear that ASD is a fast growing field and may at some point in time reach the status as a stand alone segment with respect to processing, chemicals and equipment. Another indication can be seen that at the SPIE in February there was a high number of presentations and posters on combining ALD and ALE or just Area Selective Deposition.

Program Char Prof. Gregory N. Parsons of North Carolina State University, USA has asked to share some photos form the successful event (below). In addition, an article covering the event was just published by Chemical & Engineering News (LINK) including interviews and the latest insights from Dennis M. Hausmann (Lam Research), Gregory N. Parsons, Silvia Armini (invited speaker, imec), Dara Bobb-Semple and Stacey F. Bent (Stanford University), and Steven M. George (Colorado Boulder University).

Studying the Book of Abstract, my personal favorite is the atmospheric pressure micro-plasma printer for area-selective ALD presented by Prof. Kessels (TU Eindhoven). This technology is being commercialized by the Dutch company with InnoPhysics (LINK) and you can expect to hear more details about this exciting technology soon.

Rear view from the The StateView Hotel conference room (Photo: Gregory N. Parsons).
Junling Lu from University of Science and Technology of China, Hefei presenting "Bottom-up Engineering Catalyst Nanostructures using Area-Selective Atomic Layer Deposition" (Photo: Gregory N. Parsons).

Wednesday, June 6, 2018

Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching

JVST A Featured Article: Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors by Kang-Yi Lin, Chen Li. Sebastian Engelmann, Eric A. Joseph, Dominik Metzler and Gottlieb Oehrlein a collaboration between University of Maryland and IBM 
 
 

 
 

Imec Extends Damascene Metallization Towards the 3nm Technology Node

LEUVEN, June 4, 2018 – At this week’s 2018 IEEE International Interconnect Technology Conference (IITC 2018), imec, the world-leading research and innovation hub in nanoelectronics and digital technology, will present 11 papers on advanced interconnects, ranging from extending Cu and Co damascene metallization, all the way to evaluating new alternatives such as Ru and graphene. After careful evaluation of the resistance and reliability behavior, imec takes first steps towards extending conventional metallization into to the 3nm technology node.

For almost two decades, Cu-based dual damascene has been the workhorse industrial process flow for building reliable interconnects. But when downscaling logic device technology towards the 5nm and 3nm technology nodes, meeting resistance and reliability requirements for the tightly pitched Cu lines has become increasingly challenging. The industry is however in favor of extending the current damascene technology as long as possible, and therefore, different solutions have emerged. 
Via resistance for Co, Cu, Ru (left); and comparison of damascene line resistance versus total conductor cross-sections area of Ru, Co and Cu nanowires (right)
To set the limits of scaling, imec has benchmarked the resistance of Cu with respect to Co and Ru in a damascene vehicle with scaled dimensions, demonstrating that Cu still outperforms Co for wire cross sections down to 300nm2 (or linewidths of 12nm), which corresponds to the 3nm technology node. To meet reliability requirements, one option is to use Cu in combination with thin diffusion barriers such as tantalum nitride (TaN)) and liners such as Co or Ru. It was found that the TaN diffusion barrier can be scaled to below 2nm while maintaining excellent Cu diffusion barrier properties.

For Cu linewidths down to 15–12nm, imec also modeled the impact of the interconnect line-edge roughness on the system-level performance. Line-edge roughness is caused by the lithographic and patterning steps of interconnect wires, resulting in small variations in wire width and spacing. At small pitches, these can affect the Cu interconnect resistance and variability. Although there is a significant impact of line-edge roughness on the resistance distribution for short Cu wires, the effect largely averages out at the system level.

An alternative solution to extend the traditional damascene flow is replacing Cu by Co. Today Co requires a diffusion barrier – an option that recently gained industrial acceptance. A next possible step is to enable barrierless Co or at least sub-nm barrier thickness with careful interface engineering. Co has the clear advantage of having a lower resistance for smaller wire cross-secions and smaller vias. Based on electromigration and thermal storage experiments, imec presents a detailed study of the mechanisms that impact Co via reliability, showing the abscence of voids in barrierless Co vias, demonstrating a better scalability of Co towards smaller nodes. The research is performed in cooperation with imec’s key nano interconnect program partners including GlobalFoundries, Huawei, Intel, Micron, Qualcomm, Samsung, SK Hynix, SanDisk/Western Digital, Sony Semiconductor Solutions, TOSHIBA Memory and TSMC.

Applied Materials enables cobalt contact & interconnect for 7nm with pre-clean, PVD, ALD and CVD – on the Endura® platform

At IEDM 2017 in December both Intel and Globalfoundries presented cobalt encapsulation (liner and cap) for copper local interconnects as well as Co fill contacts for their 10nm resp 7nm technologies. Since then many have wondered about the unit process details behind the new cobalt integration and here we have it - The Applied Materials  complete cobalt solution as announced yesterday. Especially interesting that TiN ALD also is used as a cobalt seed/adhesio/dufusion barrier for cobalt contacts. The most interesting stuff you will finde here: LINK
[SANTA CLARA, Calif., June 05, 2018]  Applied Materials, Inc. today announced a breakthrough in materials engineering that accelerates chip performance in the big data and AI era.

In the past, classic Moore’s Law scaling of a small number of easy-to-integrate materials simultaneously improved chip performance, power and area/cost (PPAC). Today, materials such as tungsten and copper are no longer scalable beyond the 10nm foundry node because their electrical performance has reached physical limits for transistor contacts and local interconnects. This has created a major bottleneck in achieving the full performance potential of FinFET transistors. Cobalt removes this bottleneck but also requires a change in process system strategy. As the industry scales structures to extreme dimensions, the materials behave differently and must be systematically engineered at the atomic scale, often under vacuum. 
To enable the use of cobalt as a new conducting material in the transistor contact and interconnect, Applied has combined several materials engineering steps – pre-clean, PVD, ALD and CVD – on the Endura® platform. Moreover, Applied has defined an integrated cobalt suite that includes anneal on the Producer® platform, planarization on the Reflexion® LK Prime CMP platform and e-beam inspection on the PROVision™ platform. Customers can use this proven, Integrated Materials Solution to speed time-to-market and increase chip performance at the 7nm foundry node and beyond. 

“Five years ago, Applied anticipated an inflection in the transistor contact and interconnect, and we began developing an alternative materials solution that could take us beyond the 10nm node,” said Dr. Prabu Raja, senior vice president of Applied’s Semiconductor Products Group. “Applied brought together its experts in chemistry, physics, engineering and data science to explore the broad portfolio of Applied’s technologies and create a breakthrough Integrated Materials Solution for the industry. As we enter the big data and AI era, there will be more of these inflections, and we are excited to be having earlier and deeper collaborations with our customers to accelerate their roadmaps and enable devices we never dreamed possible.”

While challenging to integrate, cobalt brings significant benefits to chips and chip making: lower resistance and variability at small dimensions; improved gapfill at very fine dimensions; and improved reliability. Applied’s integrated cobalt suite is now shipping to foundry/logic customers worldwide.

Applied Materials, Inc. (Nasdaq:AMAT) is the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations make possible the technology shaping the future. Learn more at www.appliedmaterials.com.

Friday, June 1, 2018

ShenZhen Association for Vacuum Technology Industries visits ALD lab at Fraunhofer IKTS

Today we at the Thin Film Technology group of Fraunhofer IKTS in Dresden were honored to be the 2nd stop for the delegation from the ShenZhen Association for Vacuum Technology Industries from China on their European Trip. We presented the latest research and industrialization of Atomic Layer Deposition technology and discussed new opportunities for ALD industrial application.

Shenzhen is one of the most dynamic cities in China. It is located in the southern part of Guangdong Province, next to Hong Kong. Shenzhen is famous for its rapid economic development since the establishment of the special economic zone in 1980. Over the past several decades, Shenzhen has been developed from a small fishing village to currently a modern city featured for innovation and high-tech. Many renowned high-tech companies such as Huawei, Tencent, and BYD are located in Shenzhen.

The next China ALD Confernce will be held in Shenzhen, China, from October 14 to 17, 2018 (LINK)